インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.5.1. パーシャル・リコンフィグレーション・デザイン・ガイドライン

次の表示すのは、PRデザインフローのさまざまなステップでの重要なデザイン・ガイドラインです。

表 2.  パーシャル・リコンフィグレーション・デザイン・ガイドライン
PRデザインの手順 ガイドライン 理由

パーシャル・リコンフィグレーションのデザイン

PR領域内のレジスターの初期状態は想定しないでください。 PRが完了したら、すべての制御パスレジスターを既知の状態にリセットします。ただし、データ・パス・レジスターは省略します。

PR領域内のレジスターには、リコンフィグレーション後の未定義の値が含まれています。データ・パス・レジスターを省略すると、リセット信号の輻輳が減少します。

同期リセットを インテル® Arria® 10または インテル® Cyclone® 10 GXパーシャル・リコンフィグレーションのグローバル信号として定義することはできません。

PR領域では、グローバル信号としてレジスターを同期リセットすることはサポートしていません。これは、 インテル® Arria® 10および インテル® Cyclone® 10 GX LABでは、グローバルバッファ-上の同期クリア (sclr) 信号をサポートしていないためです。LABでは、ローカル入力またはグローバルネットワーク行クロックから駆動される非同期クリアー (aclr) 信号はサポートしています。その結果、aclr だけが、グローバル信号になり、PR領域にレジスターを供給することができます。

PRESERVE_FANOUT_FREE_NODE アサインメントでは、ファンアウトのないレジスターの保持は、ファンアウトが、定義したVerilog HDLまたはVHDLモジュール内にない場合はできません。このようなファンアウトのないレジスターを保持するには、次のとおり、noprune プラグマをソースファイルに実装します。

(*noprune*)reg r;

このモジュールのインスタンスが複数あり、その一部のインスタンスのみがファンアウトのないレジスターの保持を必要とする場合は、HDL内のレジスターにダミープラグマを設定し、さらに PRESERVE_FANOUT_FREE_NODE アサインメントを設定します。このダミーのプラグマにより、レジスター合成によるアサインメントの実装が可能になります。例えば、次のダミープラグマをVerilog HDLの r レジスターに次のとおりに設定します。

(*dummy*)reg r;

その後、このインスタンスのアサインメントを設定します。

set_instance_assignment -name \ PRESERVE_FANOUT_FREE_NODE ON \ -to r;
PRESERVE_FANOUT_FREE_NODE アサインメントは、レジスターが定義されているVerilog HDLまたはVHDLモジュールでそのレジスターを使用していない場合は、適用されません

デザインのパーティション化

すべての入力と出力をPR領域に登録します。

タイミング・クロージャーとタイムバジェットを改善します。

デザインのPR領域とスタティック領域をインターフェイス接続している信号の数を減らします。

ワイヤーLUTの数を減らします。

PR領域のラッパーを作成します。

ラッパーによりスタティック領域に共通するフットプリントを作成します。

PR領域がリセット状態に保たれ、PR領域に対してフリーズビットがアサートされている場合、すべてのPR領域出力ポートを非アクティブ状態に駆動します。

スタティック領域ロジックによるランダムデータの受信が、パーシャル・リコンフィグレーション動作中に行われないようにします。

PR境界I/Oインターフェイスは、すべてのPRペルソナI/Oインターフェイスのスーパーセットである必要があります。

各PRパーティションが、必ず同じポートを実装するようにします。

パーシャル・リコンフィグレーションの準備

保留中のトランザクションをすべて完了します。

スタティック領域が待機状態でないことを確認します。

パーシャル・リコンフィグレーション中に部分的に動作するシステムの維持

すべての出力を既知の定数値に保ちます。

リコンフィグレーション中およびリコンフィグレーション後にPR領域が受け取る未定義の値が、PR制御ロジックに影響を与えないようにします。

パーシャル・リコンフィグレーションの開始

リセット後に初期化します。

メモリーまたはその他のデバイスリソースから状態を取得します。

Signal Tap Logic Analyzerを使用したパーシャル・リコンフィグレーションのデバッグ

  • デフォルトのペルソナでは信号をタップしないでください。
  • ペルソナからのタップされた信号はすべて1つの .stp ファイルに保存します。

インテル® Quartus® Prime開発ソフトウェアの現在のバージョンでは、.stp (Signal Tapファイル) をリビジョンごと1つのみサポートします 。この制限により、パーティションを一度に1つずつ選択してタップする必要があります。

同じ .stp ファイルでは領域をまたがってタップしないでください。

すべてのペルソナにわたって一貫したインターフェイス (境界) を保証します。

合成前の信号のみをタップしてください。Node Finderで、Signal Tap: pre-synthesisでフィルターします。

PRペルソナの信号タップが、確実に合成から始まるようにします。