インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.3.5. ポート

Partial Reconfiguration Controller Intel Arria 10/Cyclone 10 FPGA IPには次のインターフェイス・ポートが含まれます。
図 47. Partial Reconfiguration Controllerインターフェイス・ポート (内部ホスト)
図 48. Partial Reconfiguration Controllerインターフェイス・ポート (外部ホスト)
表 23.  クロック/リセットポート
ポート名 入力/出力 機能

nreset

1

入力

PR Controller IPコアの非同期リセット。パーシャル・リコンフィグレーション動作中にPR Controller IPコアをリセットすると、撤回シーケンスが開始されます。

clk

1

入力

PR Controller IPコアへのユーザー入力クロック。IPコアの最大クロック周波数は100MHzです。

IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

表 24.  フリーズ・インターフェイス・ポート
ポート名 入力/出力 機能

freeze

1

出力

アクティブHigh信号。パーシャル・リコンフィグレーションが行われている領域のPRインターフェイス信号をフリーズします。この信号のデアサートは、PR動作の終了を示します。

Partial Reconfiguration Controller IP freeze 信号ではなくPartial Reconfiguration Region Controller IPを使用します。

表 25.  コンジット・インターフェイス・ポートこの表のポートが使用可能なのは、Enable Avalon-MM slave interfaceOffの場合です。
ポート名 入力/出力 機能

pr_start

1

入力

このポートでの 0 から 1 への遷移により、PRイベントが開始されます。少なくとも1クロックサイクルの間、この信号をHighにアサートし、PR動作が終了する前にLowに信号をデアサートする必要があります。この動作により、PR Controller IPコアでは、freeze 信号がLowの場合、次の pr_start トリガーイベントを受け入れる準備が整います。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

data[]

1、8、16、または32

入力

選択可能な入力PRデータバス幅、x1、x8、x16、またはx32。

PRイベントがトリガーされると、PRイベントが clk 信号の立ち上がりエッジと同期するのは、data_valid 信号がHighで、data_ready 信号がHighの場合です。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

data_valid

1

入力

このポートでの 0 から 1 への遷移は、data[] ポートに有効なデータが含まれていることを示します。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

data_ready

1

出力

このポートでの 0 から 1 への遷移は、data_valid 信号がHighにアサートされたときはいつでも、PR Controller IPコアが data[] ポート上の有効なデータを読み出す準備が整っていることを示します。このポートがLowの場合、データ送信側は有効なデータの送信を停止する必要があります。

この信号は、JTAGデバッグ動作中にLowにデアサートします。

status[2..0]

1

出力

3ビット出力。PRイベントのステータスを示します。IPによってエラー (PR_ERRORCRC_ERROR、または互換性のないビットストリーム・エラー) が検出されると、この信号はHighにラッチします。この信号がリセットされるのは、次のPRイベントの開始時のみで、pr_start がHighで、freeze がLowの場合です。例 :

3’b000 – power-up or nreset asserts

3’b001 – PR_ERROR triggers

3’b010 – CRC_ERROR triggers

3’b011 – Incompatible bitstream error detection

3’b100 – PR operation in progress

3’b101 – PR operation passes

3'b110 – Reserved bit

3'b111 – Reserved bit

表 26.  Avalon-MMスレーブ・インターフェイス・ポートこの表の信号が使用可能なのは、Enable Avalon-MM slave interfaceOnの場合です。
ポート名 入力/出力 機能

avmm_slave_address

4

入力

Avalon-MMアドレスバス。アドレスバスはワード・アドレッシング単位です。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

avmm_slave_read 1

入力

Avalon-MM読み出し制御。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

avmm_slave_readdata 32

出力

Avalon-MM読み出しデータバス。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

avmm_slave_write 1

入力

Avalon-MM書き込み制御。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

avmm_slave_writedata 32

入力

Avalon-MM書き込みデータバス。

PR Controller IPコアでは、JTAGデバッグ動作中はこの信号を無視します。

avmm_slave_waitrequest 1

出力

IPがビジーであることを示します。また、IPコアが読み出しまたは書き込み要求に応答できないことを示します。

IPコアでは、JTAGデバッグ動作中にこの信号をHighに引き上げます。

表 27.  割り込みインターフェイス・ポートこの表のポートが使用可能なのは、Enable interrupt interfaceOnの場合です。
ポート名 入力/出力 機能

irq

1

出力

割り込み信号。

表 28.  CRC BLOCKインターフェイスこの表のポートが使用可能なのは、Use as Partial Reconfiguration Internal HostOffの場合、または CRCBLOCK のインスタンス化を内部ホストに対して手動で行う場合です。
ポート名 入力/出力 機能

crc_error_pin

1

入力

PR Controller IPコアをExternal Hostとして使用する場合に使用可能です。このポートをパーシャル・リコンフィグレーション中のFPGAの専用 CRC_ERROR ピンに接続します。

表 29.  PRブロック・インターフェイスこの表のオプションが使用可能なのは、Use as Partial Reconfiguration Internal HostOffの場合、または PRBLOCK のインスタンス化を内部ホストに対して手動で行う場合です。
ポート名 入力/出力 機能

pr_ready_pin

1

入力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 PR_READY ピンに接続します。

pr_error_pin

1

入力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 PR_ERROR ピンに接続します。

pr_done_pin

1

入力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 PR_DONE ピンに接続します。

pr_request_pin

1

出力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 PR_REQUEST ピンに接続します。

pr_clk_pin

1

出力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 DCLK ピンに接続します。

pr_data_pin[31..0]

16|32

出力

このポートをパーシャル・リコンフィグレーション中のFPGAの専用 DATA[31..0] ピンに接続します。