インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.2.3. ポート

Partial Reconfiguration Controller Intel® FPGA IPには次のインターフェイス・ポートが含まれます。
図 42. Avalon-STシンク・インターフェイス・ポート
図 43. Avalon-MMスレーブ・インターフェイス・ポート
表 14.  クロック/リセットポート
ポート名 入力/出力 機能

reset

1

入力

PR Controller IPコアの非同期リセット。パーシャル・リコンフィグレーション動作中にPR Controller IPコアをリセットすると、デバイスがロックアップする可能性があります。

clk

1

入力

PR Controller IPコア用への入力クロック

入力クロックはフリーランニングでなければなりません。IPコアの最大クロック周波数は200 MHzです。

表 15.   Avalon-STスレーブ・インターフェイス・ポートこの表のポートが使用可能なのは、Avalon-STスレーブ・インターフェイスがイネーブルになっている場合です。
ポート名 入力/出力 機能

pr_start

1

入力

このポートに到達した信号がHighにアサートされると、PRイベントが開始されます。PR動作が終了する前に、この信号を最低1クロックサイクルの間Highにアサートし、Lowにデアサートする必要があります。

avst_sink_data[]

32|64

入力

Avalon-STデータ信号。clk 信号の立ち上がりエッジと同期します。Input data width パラメーターでこのポート幅を指定します。

avst_sink_valid

1

入力

Avalon-STデータ有効信号。avst_sink_data ポートに有効なデータが含まれていることを示します。

avst_sink_ready

1

出力

Avalon-STレディ信号。デバイスが、avst_sink_valid 信号がHighにアサートされるときはいつでも avst_sink_data ポートのストリーミング・データを読み出す準備ができていることを示します。このポートがLowの場合は、有効データの送信を停止します。

status[2..0]

3

出力

3ビットのエラー出力。PRイベントのステータスを示します。出力が次のようにHighにラッチされると、出力をリセットできるのは、次のPRイベントの開始時のみです。

3’b000 – power-up nreset asserted

3’b001 – configuration system is busy

3’b010 – PR operation is in progress

3’b011 – PR operation successful

3’b100 – PR_ERROR is triggered

3’b101 – Reserved

3'b110 – Reserved

3'b111 – Reserved

protocol_error 1 出力 CSRレジスターからエラービットを読み出します。
表 16.   Avalon-MMスレーブ・インターフェイス・ポートこの表のポートが使用可能なのは、Avalon-MMスレーブ・インターフェイスがイネーブルになっている場合です。
ポート名 入力/出力 機能

avmm_slave_address

4

入力

ワード・アドレッシング単位のAvalon-MMアドレスバス。

avmm_slave_read

1

入力

Avalon-MM読み出し制御。

avmm_slave_readdata

32

出力

Avalon-MM読み出しデータバス。

avmm_slave_write

1

入力

Avalon-MM書き込み制御。

avmm_slave_writedata

32

入力

Avalon-MM書き込みデータバス。

avmm_slave_waitrequest

1

出力

アサートされると、IPがビジー状態であり、読み出しまたは書き込みに対応できないことを示します。

irq

1

出力

Enable interrupt interfaceパラメーターをイネーブルしたときの割り込み信号。