インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.9.1.3. altera_pr_wrapper_mux_out モジュール

altera_pr_wrapper_mux_out モジュールでは、すべてのPRペルソナの出力をPR領域ラッパーの出力に対して多重化することができます。
出力ポートごとに1つのマルチプレクサをインスタンス化します。マルチプレクサの sel ポートを使用して、アクティブなペルソナを指定します。pr_activate ポートを使用すると、マルチプレクサ出力を「x」に駆動し、PR動作中にPR領域出力の未知の値をエミュレートすることができます。コンポーネントのパラメーター化を行い、pr_activate がアサートするときのペルソナ入力数、マルチプレクサ幅、およびMUX出力値を指定します。
module altera_pr_wrapper_mux_out #( parameter NUM_PERSONA = 1, parameter WIDTH = 1, parameter [0:0] DISABLED_OUTPUT_VAL = 1'bx ) ( input int sel, input wire [WIDTH-1 : 0] mux_in [NUM_PERSONA-1:0], output reg [WIDTH-1:0] mux_out, input wire pr_activate ); always_comb begin if ((sel < NUM_PERSONA) && (!pr_activate)) mux_out = mux_in[sel]; else mux_out = {WIDTH{DISABLED_OUTPUT_VAL}}; end endmodule : altera_pr_wrapper_mux_out 
<QUARTUS_INSTALL_DIR> /eda/sim_lib/altera_lnsim.sv ファイルでは、altera_pr_wrapper_mux_out コンポーネントを定義します。