インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.4.2. ポート

Partial Reconfiguration External Configuration Controller Intel FPGA IPには次のインターフェイス・ポートが含まれます。
表 33.  ポート
ポート名 入力/出力 機能
pr_request 1 入力 PRプロセスの開始準備ができていることを示します。この信号は、どのクロック信号にも同期しないコンジットです。
pr_error 1 出力 リコンフィグレーション・エラーを示します。この信号は、どのクロック信号にも同期しないコンジットです。
pr_done 1 出力 PRプロセスが完了したことを示します。この信号は、どのクロック信号にも同期しないコンジットです。
start_addr 1 入力 アクティブ・シリアル・フラッシュのPRデータの開始アドレスを指定します。この信号をイネーブルするには、次のいずれかを選択します。 Avalon-ST または アクティブシリアルEnable Avalon-ST Pins or Active Serial Pinsパラメーターに対して選択します。この信号は、どのクロック信号にも同期しないコンジットです。
reset 1 入力 アクティブHighの同期リセット信号。
out_clock 1 出力 内部オシレーターから生成されるクロックソース。
busy 1 出力

IPでこの信号をアサートして、PRデータ転送が進行中であることを示します。この信号をイネーブルするには、EnableEnable busy interfaceパラメーターに対して選択します。