インテル® Agilex™ コンフィグレーション・ユーザーガイド

ID 683673
日付 5/30/2022
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

3.1.7.4.5. PFL II IPが他の出力ピンに推奨する制約

PFL II IP出力ピンへの出力遅延の設定

以下の例では、pfl_flash_access_request出力ピンの出力遅延を設定しています。
  • この信号がアービターロジックまたはデバイストライステートロジックに供給される場合、パスを制約する必要はありません。
  • デバイス アービター ロジックまたは外部プロセッサを使用していないときに、この信号がpfl_flash_access_granted入力ピンに供給される場合、パスを制約する必要はありません。
  • この信号がプロセッサまたは外部デバイスコントロールに供給されるときに、パスを制約できます。
set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \
-max $flash_access_request_tracemax [get_ports {pfl_flash_access_request}]

set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \
-min $flash_access_request_tracemin [get_ports {pfl_flash_access_request}]

flash_nreset出力ピンへの出力遅延の設定

flash_nreset 出力ピンはバーストモードでのみ使用できます。

set_output_delay -add_delay -max -clock [get_clocks { FLASH_CLK }] $flash_out_max_dly [get_ports {flash_nreset}]
set_output_delay -add_delay -min -clock [get_clocks { FLASH_CLK }] $flash_out_min_dly [get_ports {flash_nreset}]

fpga_nconfig 出力ピン用のフォルスパスの設定

nCONFIGは非同期入力ピンであるため、fpga_nconfig出力ピンをフォルスパスに設定できます。

set_false_path -from [get_ports {fpga_nconfig}] -to *

pfl_watchdog_error出力ピンへの出力遅延の設定

  • 信号が内部ロジックに送られるときにパスを制約する必要はありません。
  • 信号が外部ホストにフィードするときにパスを制約できます。
set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \
-max $pfl_watchdog_error_tracemax [get_ports {pfl_watchdog_error}]

set_output_delay -add_delay -clock [get_clocks { clk_50m_sysmax }] \
-min $pfl_watchdog_error_tracemin [get_ports {pfl_watchdog_error}]