インテル® Agilex™ コンフィグレーション・ユーザーガイド

ID 683673
日付 5/30/2022
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

3.1.7.4.4. PFL II IPが他の入力ピンに推奨する制約

PFL II IP入力ピンに誤ったパスの設定

このピンは非同期であるため、pfl_nreset入力リセット ピンを フォルスパスに設定できます。

set_false_path -from [get_ports {pfl_nreset}] -to *

PFL II IP 入力ピンへの入力遅延の設定

以下の例は、pfl_flash_access_granted ピンの入力遅延を設定します。
  • デバイス・アービター・ロジックを使用してピンを制御する場合、パスを制約する必要はありません。
  • デバイス アービター ロジックまたは外部プロセッサを使用してピンを制御せず、pfl_flash_access_request信号をpfl_flash_access_grantedピンにループバックする場合、パスを制約する必要はありません。
  • プロセッサーまたは外部デバイスが pfl_flash_access_grantedピンを制御する場合、パスを制約できます。
set_input_delay -clock {clk_50m_sysmax} -max [<pfl_flash_access_granted_tco_max> +<pfl_flash_access_granted_tracemax> ] [get_ports {pfl_flash_access_granted}] set_input_delay -clock {clk_50m_sysmax} -min [<pfl_flash_access_granted_tco_min> +<pfl_flash_access_granted_tracemin> ] [get_ports {pfl_flash_access_granted}]

fpga_pgm[]入力ピンにフォルスパスの設定

長期間安定しているリセット信号や構成信号 (fpga_conf_donefpga_nstatus) などの準静的信号へのフォルス パスを設定できます。

set_false_path -from [get_ports {fpga_pgm[]}] -to * 

pfl_nreconfigure 入力ピンへの入力遅延の設定

外部コンポーネントを使用してこのピンを駆動する場合、pfl_nreconfigure ピンを駆動するように入力遅延パスを設定する必要があります。

set_input_delay -clock {clk_50m_sysmax} -max [<pfl_nreconfigure_tco_max> + <pfl_nreconfigure_tracemax>] \
[get_ports {pfl_nreconfigure}]

set_input_delay -clock {clk_50m_sysmax} -min [<pfl_nreconfigure_tco_min> + <pfl_nreconfigure_tracemin>] \
[get_ports {pfl_nreconfigure}]

pfl_reset_watchdog ピンへの入力遅延の設定

外部コンポーネントを使用してこのピンを駆動する場合、pfl_reset_watchdogピンを駆動するように入力遅延パスを設定する必要があります。
set_input_delay -clock {clk_50m_sysmax} -max [$ pfl_reset_watchdog_tco_max + $ pfl_reset_watchdog_tracemax] \ [get_ports {pfl_nreconfigure}] set_input_delay -clock {clk_50m_sysmax} -min [$ pfl_reset_watchdog_