PCI Express* 用のインテル® Stratix® 10 HタイルおよびLタイル Avalon® メモリー・マップド・ハードIPユーザーガイド

ID 683667
日付 6/03/2020
Public
ドキュメント目次

5.2. シミュレーション

インテルQuartus Primeプロ・エディション開発ソフトウェアは、パラメーター化された PCI Express* IPコアを生成する際に、オプションで機能シミュレーション・モデル、テストベンチ (またはデザイン例)、およびベンダー固有のシミュレーター・セットアップ・スクリプトを生成します。Endpointの場合、生成はRoot Port BFMを作成します。

注: Root Portデザイン例の生成は、インテルQuartus Primeプロ・エディションのこのリリースではサポートされていません。

インテルQuartus Primeプロ・エディションは、以下のシミュレーターをサポートしています。

表 27.  サポートされているシミュレーター
ベンダー シミュレーター バージョン プラットフォーム
Aldec Active-HDL* 10.3 Windows
Aldec Riviera-PRO* 2016.10 Windows、Linux
Cadence Incisive Enterprise* (NCSim*) 15.20 Linux
Cadence Xcelium* Parallel Simulator 17.04.014 Linux
Mentor Graphics ModelSim PE* 10.5c Windows
Mentor Graphics ModelSim SE* 10.5c Windows、Linux
Mentor Graphics QuestaSim* 10.5c Windows、Linux
Synopsys VCS*/VCS MX* 2016,06-SP-1 Linux
注: インテル・テストベンチおよびRoot Port BFMは、PCIe IPバリエーションにインターフェイス接続するアプリケーション・レイヤー・ロジックの基本的なテストを行う簡単な方法を提供します。このBFMを使用すると、コンフィグレーション可能なパラメーターを使用して、単純なタスク・スティミュラスを作成および実行し、デザイン例の基本的な機能を実行できます。テストベンチおよびRoot Port BFMは、完全な検証環境の代わりになるものではありません。コーナーケースおよび特定のトラフィック・プロファイル・スティミュラスはカバーされません。可能な限り最高の検証カバレッジを確保するために、インテルは、市販のPCI Express検証IPおよびツールを入手するか、独自の広範なハードウェア・テストを行うか、またはその両方を行うことを強くお勧めします。