PCI Express* 用のインテル® Stratix® 10 HタイルおよびLタイル Avalon® メモリー・マップド・ハードIPユーザーガイド

ID 683667
日付 6/03/2020
Public
ドキュメント目次

10.1. Avalon-MMエンドポイント・テストベンチ

クイック・スタート・ガイドの次の手順に従って、デザイン例からテストベンチを生成することができます。

図 68. エンドポイント・デザインのデザイン例

Root Port BFMには、次の最上位モジュールが以下のディレクトリーに含まれています。 <testbench_dir/pcie_<dev>_hip_avmm_bridge_0_example_design/pcie_example_design_tb/ip/pcie_example_design_tb/DUT_pcie_tb_ip/altera_pcie_s10_tbed_<ver>/sim

  • altpcietb_bfm_top_rp.sv: Root Port PCI Express BFMです。このモジュールの詳細については、Root Port BFMを参照してください。
  • altpcietb_bfm_rp_gen3_x8.sv: このモジュールは、Root Port BFMへのトランザクションを駆動します。メインプロセスは2つの段階で動作します。
    • まず、タスク ebfm_cfg_rp_eg を使用して、エンドポイントをコンフィグレーションします。
    • 次に、タスク target_mem_test または target_mem_test_lite でメモリー・アクセス・テストを実行します。

      最後に、タスク dma_mem_test でDMAテストを実行します。

    これは、エンドポイント・デザイン例または独自のデザインに送信されるトランザクションを変更するために修正するモジュールです。
  • altpcietb_bfm_shmem.v: このメモリーは、次の機能を実装します。
    • TX書き込み動作のデータを提供します。
    • RX読み出し動作のデータを提供します。
    • RX書き込み動作のデータを受信します。
    • 受信したコンプリーションのデータを受信します。

さらに、テストベンチには次のタスクを実行するルーチンがあります。

  • 必要な周波数でEndpointのリファレンス・クロックを生成します。
  • 起動時にPCI Expressリセットを提供します。
注:

テストベンチを実行する前に、serial_sim_hwtcl パラメーターを以下に設定する必要があります。 <testbench_dir>/pcie_<dev>_hip_avmm_bridge_example_design_tb/ip/pcie_example_design_tb/DUT_pcie_tb_ip/altera_pcie_<dev>_tbed_<ver>/sim/altpcie_<dev>_tbed_hwtcl.v. シリアル・シミュレーションの場合は1、PIPEシミュレーションの場合は0に設定します。