インテル® Stratix® 10エンベデッド・メモリー ユーザーガイド

ID 683423
日付 12/24/2018
Public
ドキュメント目次

2.8. トゥルー・デュアル・ポート・デュアル・クロック・エミュレーター

トゥルー・デュアル・ポート (TDP) ・デュアル・クロック・エミュレーター機能では、TDPデュアル・クロック・モードをエミュレートします。この機能により提供される インテル® Arria® 10デバイスとの下位互換性では、TDPデュアル・クロック・モードがサポートされています。

この機能がサポートされるのは次の状態の場合のみです。

  • 2つの読み出し/書き込みポート動作モード
  • AおよびBポート・クロッキング・モード用のクロックのカスタマイズ
注: Emulate TDP dual clock modeをオンにして、TDPデュアル・クロック・エミュレーター機能をデュアルポートRAM IPコアのパラメーター・エディターで有効にする必要があります。この機能を有効にする方法の詳細については を参照してください。

TDPデュアル・クロック・エミュレーターは、2つのDCFIFOと1つのRAMブロックで構成されています。 DCFIFOでは、コントロール信号に対するクロック・ドメイン・クロッシング (CDC) の問題が処理され、また、RAMブロックによる処理前後のデータ格納用の一時バッファーになります。

異なるクロック周波数によって生じる非確定レイテンシーのために、 valid 信号が導入され、出力データが有効であるかどうかを識別します。 valid 信号がアサートされると、正しい出力データに従う必要性が示されます。 valid 信号がディアサートされた場合は、出力データは破棄してください。

表 10.   インテル® Arria® 10 TDPデュアル・クロック・モードと インテル® Stratix® 10でエミュレートされたTDPデュアル・クロック・モードの違い
信号 インテル® Arria® 10 TDPデュアル・クロック・モード インテル® Stratix® 10でエミュレートされたTDPデュアル・クロック・モード
clocken サポートあり サポートあり
rden サポートあり サポートあり
wren サポートあり サポートあり
aclr サポートあり
sclr
byteena サポートあり

ポートAへのクロック接続は低速クロック (クロックA) でなければならず、ポートBへのクロック接続は高速クロック (クロックB) でなければなりません。この場合、クロックBのクロック周波数をクロックAで割ると7以上になります。

TDPデュアル・クロック・エミュレーター機能を使用すると、ポートAとポートBではレイテンシーが異なったものになります。ポートAのレイテンシーが減少するとともに、2つのクロック周波数の差は大きくなり、最小レイテンシーは5クロックサイクルです。ポートBのレイテンシーは2クロックサイクルに固定されていて、出力レジスターはこのコンフィグレーションでは常に有効になっています。

次の図で示すのは、TDPデュアル・クロック・エミュレーター機能のタイミング図です。

図 14. ポートAの出力条件
図 15. ポートBの出力条件
図 16. ポートAのRead-During-Write条件
図 17. ポートBのRead-During-Write条件