インテル® Stratix® 10エンベデッド・メモリー ユーザーガイド

ID 683423
日付 12/24/2018
Public
ドキュメント目次

4.1.5. ROM: 2-PORT Intel FPGA IPのパラメーター

この表は、RAM: 2-PORT Intel FPGA IPIコアに対するパラメーターの一覧です。
表 24.  RAM: 2-PORTIntel FPGA IPのパラメーター設定
パラメーター 選択可能な値 詳細
パラメーター設定:Widths/Blk Type
How do you want to specify the memory size?
  • As a number of words
  • As a number of bits
メモリー容量の指定をワードかビットのどちらでするかを決定します。
How many words of memory? 32、64、128、256、512、1024、2048、4096、8192、16384、32768、および65536 ワード数を指定します。
Use different data widths on different ports On/Off 異なるポートで異なるデータ幅を使用するかどうかを指定します。
How wide should the ‘q_a’ output bus be? 「q_a」および「q_b」出力ポートの幅を指定します。
How wide should the ‘q_b’ output bus be?
RAM block type Auto、M20K メモリーブロックのタイプを指定します。選択できるメモリーブロックのタイプは、ターゲットのデバイスによって異なります。
Set the maximum block depth to M20K: Auto、512、1024、2048 ワード内の最大ブロック深度を指定します。Autoをメモリー・ブロック・タイプとして選択する場合にのみ、このオプションが有効化されます。
パラメーター設定:Clks/Rd, Byte En
What clocking method would you like to use?
  • Single clock
  • Dual clock: use separate ‘input’ and ‘output’ clocks
  • Customize clocks for A and B ports

使用するクロッキング方法を指定します。

  • Single clock - シングルクロックとクロックイネーブルでメモリーブロックのすべてのレジスターを制御します。
  • Dual clock: use separate ‘input’ and ‘output’ clocks - 入力クロックでは、エンベデッド・メモリー・ブロックへのデータ入力に関係するすべてのレジスターを制御します。これには、データ、アドレス、バイト・イネーブル、読み出しイネーブル、および書き込みイネーブルが含まれます。出力クロックではデータ出力レジスターを制御します。
  • Customize clocks for A and B ports - クロックAではポートA側のすべてのレジスターを制御します。クロックBではポートB側のすべてのレジスターを制御します。各ポートではまた、独立クロックイネーブルのサポートをそれぞれポートAとポートBの両方のレジスターに対して行います。
Create a ‘rden_a’ and ‘rden_b’ read enable signal On/Off 読み出しイネーブル信号を作成するかどうかを指定します。
パラメーター設定:Regs/Clkens/Aclrs
Which ports should be registered?

Read output ports

On/Off 読み出し出力ポートを登録するかどうかを指定します。
‘q_a’ port On/Off 「q_a」出力ポートを登録するかどうかを指定します。
‘q_b’ port On/Off 「q_b」出力ポートを登録するかどうかを指定します。
Use clock enable for port A input registers On/Off クロックイネーブルをポートA入力レジスターに対して使用するかどうかを指定します。
Use clock enable for port A output registers On/Off クロックイネーブルをポートA出力レジスターに対して使用するかどうかを指定します。
Use clock enable for port A input registers On/Off クロックイネーブルをポートB入力レジスターに対して使用するかどうかを指定します。
Use clock enable for port A output registers On/Off クロックイネーブルをポートB出力レジスターに対して使用するかどうかを指定します。
Aclr Options
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 登録済みポートが非同期クリアポートによってクリアされるかどうかを指定します。
Sclr Options
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 登録済みポートが非同期クリアポートによってクリアされるかどうかを指定します。
パラメーター設定:Mem Init
Do you want to specify the initial content of the memory?
  • No, leave it blank
  • Yes, use this file for the memory content data

メモリーの初期コンテンツを指定します。

ROMモードでは、メモリー初期化ファイル (.mif) または16進数 ( Intel® 形式) ファイル (.hex) を指定してください。Yes, use this file for the memory content dataオプションは、デフォルトでオンになっています。
The initial content file should conform to which port's dimension?
  • PORT_A
  • PORT_B
初期コンテンツファイルをポートAまたはポートBのどちらに準拠させるかを指定します。
パラメーター設定 : Performance Optimization
Enable Force-to-Zero On/Off 読み出しイネーブル信号をディアサートする場合に、出力をゼロに設定するかどうかを指定します。

この機能を有効にすることでグルーロジックのパフォーマンスが向上するのは、選択したメモリー深度が単一のメモリーブロックよりも大きい場合です。