インテル® Stratix® 10エンベデッド・メモリー ユーザーガイド

ID 683423
日付 12/24/2018
Public
ドキュメント目次

4.4.6.1. SCFIFO信号

表 37.  SCFIFO入出力ポートの説明
信号 入力/出力 要/不要 詳細
clk 入力 FIFO書き込みクロックと読み出しクロック。
aclr 入力 不要 アクティブHighのリセット信号。clk ドメイン・フリップフロップの非同期クリアピンを供給します。

このリセットの同期化はIP内ではされていないため、ユーザーロジックの clk への同期ディアサートが、適切な場合にされるようにする必要があります。

この信号が有効なのは、USE_ACLR_PORTが有効な場合のみです。

  • 0 = リセット非アクティブ
  • 1 = リセットアクティブ
sclr 入力 不要 アクティブHighのリセット信号。clk ドメイン・フリップフロップの同期クリアピンを供給します。リセットシーケンスの要件に従ってください。
  • 0 = リクエストは非アクティブ
  • 1 = リクエストはアクティブ
w_req 入力 FIFO write request。この信号はリセット中は非アクティブになることが想定されます。
  • 0 = リクエストは非アクティブ
  • 1 = リクエストはアクティブ
w_data[FIFO_WIDTH-1:0] 入力 FIFO Write Data。このバスで表すデータがFIFOに格納されるのは、書き込みリクエストがあるときです。この値がFIFOによって取得されるのは、 w_req がアクティブで、かつFIFOが一杯ではない (つまり、 w_full = 1) の場合のみです。
w_full 出力 不要 FIFO Write Full。この信号は、FIFOに残っているスペースが不足しそうかどうかを示します。この信号がアサートされると、それ以上の w_req は無視されます。
注: 内部パイプライン・ステージでのFmax改善により、実際に使用可能なスペースは、データ損失防止のためにコンフィグレーションされているスペースよりも数エントリー分少なくなります。
  • 0 = FIFOはフルではない
  • 1 = FIFOが (ほぼ) フルである
r_req 入力 FIFO Read Request / Read Ready。可能な限り高いFmaxを達成するため、この信号の使用モデルは、通常のデータ準備レイテンシーFIFOへのゼロ読み出しとは少し異なります。

ユーザー・アプリケーションで想定されるのは、適切なタイミングでこの信号をアサートして、今から数クロックサイクル (L) 後にデータを取り込む準備ができていることを示すことです。Lクロック後に r_valid がアサートされるのは使用可能なデータがある場合で、ディアサートされるのはr_data ポートに使用可能なデータがない場合です。

これは、Avalon STのゼロ以外の読み出しレイテンシー有効/準備完了インターフェイスのセマンティクスに類似しており、十分なバッファースペースがダウンストリーム・ユーザー・アプリケーションに割り当てられて、インフライト・データが消費されることを意味します。

  • L = 5 (RAM_BLK_TYPEが「MLAB」の場合)
  • L = 6 (RAM_BLK_TYPEが「M20K」の場合)
  • 0 = 読み出しリクエストは非アクティブ
  • 1 = 読み出しリクエストはアクティブ
r_data[FIFO_WIDTH-1:0] 出力 FIFO Read Data。このバスで表されるデータが対応する読み出しリクエストは、数クロックサイクル早く発生したものです。読み出しデータがクロックサイクルで有効なのは、 r_valid がアサートされたときのみです。
r_empty 出力 不要 FIFO Read Empty。データワードがFIFOにまだ残っているかどうかを示します。これは事実上 r_usedw == 0のパイプライン化されたバージョンです。

この信号がユーザー・アプリケーションによって使用されるのは、監視目的または一連の読み出しリクエストの開始のためです。

  • 0 = RAMブロックは空ではありません
  • 1 = RAMブロックは空です
r_valid 出力 不要 FIFO Read Data Valid。 r_data 出力ポートのデータが有効かどうかを示します。各 r_valid アサートが対応するのは、以前の読み出しリクエスト/準備完了です。データ・レディー・レイテンシーに対する読み出しリクエストが内部パイプライン・ステージによってもたらされるため、この信号は、 r_empty がアサートされた後も数クロックの間アサートされる可能性があります。 r_valid がアサートされると、データをユーザー・アプリケーションで取得する必要があります。そうでなければ、データは失われます。

r_valid および r_req インターフェイスは、ゼロ以外の読み出しレイテンシーを持つAvalon STの有効および準備完了セマンティクスに類似しています。

  • 0 = データは無効です
  • 1 = データは有効です
w_ready 出力 アクティブLowの書き込み保護信号。書き込みポートでのデータのゲートを遅延 w_full がアサートされる前に行います。