インテル® Stratix® 10エンベデッド・メモリー ユーザーガイド

ID 683423
日付 12/24/2018
Public
ドキュメント目次

4.1.3. RAM: 4-PORT Intel FPGA IPのパラメーター

この表はRAM: 4-PORT Intel FPGA IPコアに対するパラメーターの一覧です。
表 22.  RAM: 4-PORT Intel FPGA IPのパラメーター設定
パラメーター 選択可能な値 詳細
パラメーター設定:Widths/Blk Type
How many words of memory? ビットワードの数を指定します。
How wide should the ‘q_b’ output bus be? 入出力ポートの幅を指定します。
RAM block type Auto、M20K メモリーブロックのタイプを指定します。選択可能なメモリーブロックのタイプは、ターゲットデバイスによって異なります。
Set the maximum block depth to M20K: Auto、512、1024、2048 ワード内の最大ブロック深度を指定します。
パラメーター設定:Clks/Rd, Byte En
What clocking method would you like to use? Single clock 使用するクロッキング方法を指定します。

Single clock - シングルクロックおよびクロックイネーブルでは、メモリーブロックのすべてのレジスターを制御します。

Create a ‘rden_a’ and ‘rden_b’ read enable signal

読み出しイネーブル信号をポートAとポートBに対して作成するかどうかを指定します。
What is the width of a byte for byte enables? M20K: 5, 8, 9, 10

バイト・イネーブル・ポートのバイト幅を指定します。データ入力ポートの幅はバイトサイズで割り切れるものにしてください。

パラメーター設定:Regs/Clkens/Aclrs
Which ports should be registered?
Input registers:
  • All write input ports
  • ‘raddress’ port
Output registers:
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 読み出しまたは書き込み入出力ポートを登録するかどうかを指定します。
Use clock enable for input and output registers. On/Off このオプションをオンにして、1つのクロックイネーブル信号を入出力レジスターに対して作成するかどうかを指定します。
Create an ‘aclr’ asynchronous clear for the output ports.
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 非同期クリアポートを出力ポートに対して作成するかどうかを指定します。
Create an ‘sclr’ synchronous clear for the output ports.
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 非同期クリアポートを出力ポートに対して作成するかどうかを指定します。
パラメーター設定:Output 1
How should the ‘q_a’ and ‘q_b’ outputs behave when reading a memory location that is being written from the other port?

The output of port A will be ‘NEW’ while the output of port B will be ‘OLD’

On/Off

Read-During-Write発生時の出力動作を指定します。

パラメーター設定:Output 2
What should the ‘q_a’ output be when reading from a memory location being written to? Don't Care

Read-During-Write発生時の出力動作を指定します。

What should the ‘q_b’ output be when reading from a memory location being written to?
パラメーター設定 : Mem Init
Do you want to specify the initial content of the memory?
  • No, leave it blank
  • Yes, use this file for the memory content data

初期メモリーコンテンツを指定します。

メモリーを初期化してゼロにするには、No, leave it blank. を選択します。

メモリー初期化ファイル (.mif) または16進数 ( Intel® 形式) ファイル(.hex) を使用するには、Yes, use this file for the memory content dataを選択します。

Initialize memory content data to XX..X on power-up in simulation On/Off
The initial content file should conform to which port's dimension? PORT_A, PORT_B 初期コンテンツファイルをメモリー・コンテンツ・データに使用することを選択した場合は、ファイルを準拠させる必要があるポートを選択します。
Implement clock-enable circuitry for use in a partial reconfiguration region On/Off

クロックイネーブル回路を実装して、それをパーシャル・リコンフィグレーション領域で使用するかどうかを指定します。

パラメーター設定 : Performance Optimization
Enable Force-to-Zero On/Off リードイネーブル信号をディアサートする場合に、出力をゼロに設定するかどうかを指定します。

この機能を有効にすることでグルーロジックのパフォーマンスが向上するのは、選択したメモリー深度が単一のメモリーブロックよりも大きい場合です。