PCIeソリューションに向けた Arria V Avalon-MMインターフェイス: ユーザーガイド

ID 683773
日付 5/21/2017
Public
ドキュメント目次

2.7. Quartus® Prime開発ソフトウェアでデザインをコンパイルする

Quartus® Prime開発ソフトウェアでQsysのデザイン例をコンパイルするには、 Quartus® Primeプロジェクトを作成し、このプロジェクトにQsysファイルを追加する必要があります。

Quartus® Primeプロジェクトを作成するには、次の手順を実行します。

  1. New Project Wizardアイコンをクリックします。
  2. New Project Wizard: IntroductionNextをクリックします(イントロダクションを以前にオフにしている場合は表示されません)。
  3. Directory, Name, Top-Level Entity画面で、次の情報を入力します。
    1. 表示されている作業ディレクトリーは適切です。変更する必要はありません。
    2. プロジェクト名については、Qsysプロジェクトが含まれるシンセシス・ディレクトリーの <working_dir>/ep_g1x4/synthesisを展開します。次にバリアント名のep_g1x4.vを選択し、Openをクリックします。
    3. トップレベル・デザイン・エンティティーとQsysシステム名が同一である場合、 Quartus® Prime開発ソフトウェアはQsysシステムをトップレベル・デザイン・エンティティーとして処理します。
  4. Nextをクリックして、Add Files画面を表示します。
  5. プロジェクトに Quartus® Prime IP File (.qip)を加えるには、次の手順を実行します。
    1. browseボタンをクリックします。Select Fileダイアログボックスが表示されます。
    2. Files of typeリストから、IP Variation Files (*.qip)を選択します。
    3. <working_dir>/ep_g1x4/synthesisディレクトリーを展開します。
    4. ep_g1x4.qipをクリックして、Openをクリックします。
    5. Add Files画面でAddをクリックし、OKをクリックします。
  6. Nextをクリックして、Device画面を開きます。
  7. Family & Device Settings画面で、次のターゲット・デバイス・ファミリーとオプションを選択します。
    1. FamilyリストでArria V (GT/GX/ST/SX)を選択します。
    2. DevicesリストでArria V GX Extended Featuresを選択します。
    3. Available Devicesリストで5AGXFB3H6F35C6を選択します。
  8. Nextをクリックしてこの画面を閉じると、EDA Tool Settings画面が開きます。
  9. Simulationリストから、ModelSim ®を選択します。Formatリストから、シミュレーションに使用するHDL言語を選択します。
  10. Nextをクリックして、Summary画面を開きます。
  11. Summary画面で入力した情報に誤りがないことを確認してください。
  12. Finishをクリックして、 Quartus® Primeプロジェクトを作成します。
  13. 以下の例のSynopsys Design Constraint (SDC)コマンドを Quartus® Primeプロジェクトのトップレベル・デザイン・ファイルに追加します。
  14. Quartus® Prime開発ソフトウェアを使用してデザインをコンパイルするには、ProcessingメニューのStart Compilationをクリックします。 Quartus® Prime開発ソフトウェアは、デザインのコンパイルに必要なすべての手順を実行します。

  15. コンパイル後、Compilation ReportのTimeQuest Timing Analyzerフォルダーを展開します。Compilation Reportでタイミング制約が達成されているかどうかを確認します。
  16. これでデザインのタイミング制約が満されない場合は、Design Space Explorerを使用して、デザインに最適なフィッター設定を見つけることができます。Design Space Explorerを使用するには、ToolsメニューでLaunch Design Space Explorerをクリックします。

Synopsysデザインの制約

create_clock -period “100 MHz” -name {refclk_pci_express}{*refclk_*}
derive_pll_clocks
derive_clock_uncertainty

# PHY IP reconfig controller constraints
# Set reconfig_xcvr clock
# Modify to match the actual clock pin name
# used for this clock, and also changed to have the correct period set
create_clock -period "125 MHz" -name {reconfig_xcvr_clk}{*reconfig_xcvr_clk*}

アルテラIPコア向けに生成されるファイル

図 6. IPコアが生成するファイル Quartus® Prime開発ソフトウェアは、IPコアに次の出力ファイルを生成します。
注: これらの手順に従うことでシミュレーションと合成に使用するすべてのファイルを作成することは可能ですが、このデザイン例はハードウェアへのデザイン例のダウンロードに必要となるすべてのファイルを生成するものではありません。Arria V FPGA開発キットにデザインをダウンロードするために必要なすべてのファイルを含むデザインについては、AN 456 PCI Express High Performance Reference Designを参照してください。