Nios® Vエンベデッド・プロセッサー・デザイン・ハンドブック

ID 726952
日付 10/31/2022
Public
ドキュメント目次

4.7. Nios® Vプロセッサーのベクトル・コンフィグレーションおよびBSP設定の要約

次の表は、 Nios® Vプロセッサーのリセットおよび例外エージェント・コンフィグレーション、およびBSP設定の要約を示しています。
表 19.  Nios Vプロセッサーのベクトル・コンフィグレーションおよびBSP設定の要約
ブートオプション リセット・エージェント BSP Editorの設定: Settings BSP Editorの設定: Linker Script
コンフィグレーションQSPIフラッシュからインプレースで実行された Nios® Vプロセッサー・アプリケーション Configuration QSPI Flash
.exceptionn Linker SectionがOCRAM/ External RAMに設定されている場合は、Advanced.hal.linkerで次の設定をイネーブルします。
  • allow_code_at_reset
  • enable_alt_load
  • enable_alt_load_copy_rodata
  • enable_alt_load_copy_rwdata
  • enable_alt_load_copy_exceptions
.exception Linker SectionがQSPI Flashに設定されている場合は、Advanced.hal.linkerで次の設定をイネーブルします。
  • allow_code_at_reset
  • enable_alt_load
  • enable_alt_load_copy_rodata
  • enable_alt_load_copy_rwdata
  • .text Linker SectionをQSPIフラッシュに設定します。
  • .exception Linker SectionをOCRAM/External RAMまたはQSPIフラッシュに設定します。
  • その他のLinker Section (.heap.rwdatarodata.bss.stack) をOCRAM / External RAMに設定します。
GSFIブートローダーを使用してコンフィグレーションQSPIフラッシュからRAMにコピーされた Nios® Vプロセッサー・アプリケーション Configuration QSPI Flash Advanced.hal.linker のすべての設定のチェックを外します。 すべてのLinker SectionがOCRAM / External RAMに設定されていることを確認してください。
SDMブートローダーを使用してコンフィグレーションQSPIフラッシュからRAMにコピーされた Nios® Vプロセッサー・アプリケーション Bootloader ROM
SDMブートローダーの場合、次の設定をイネーブルします。Advanced.hal.linker:
  • allow_code_at_reset
  • enable_alt_load
  • enable_alt_load_copy_rodata
  • enable_alt_load_copy_rwdata
  • enable_alt_load_copy_exceptions
SDMブートローダーの場合、
  • .text Linker SectionをBootloader ROMに設定します。
  • その他のLinker Section (.heap.rwdata.rodata.bss.stack.exception) をBootloaderに設定します。

ユーザー・アプリケーションの場合、Advanced.hal.linkerで次の設定をイネーブルします。
  • enable_alt_load
  • enable_alt_load_copy_exceptions

ユーザー・アプリケーションの場合、すべてのLinker SectionがUser Application RAMに設定されていることを確認してください。

On-chip Memory (OCRAM) からインプレースで実行される Nios® Vプロセッサー・アプリケーション OCRAM Advanced.hal.linkerallow_code_at_resetをイネーブルし、他の設定のチェックを外します。 すべてのLinker SectionがOCRAMに設定されていることを確認します。