Nios® Vエンベデッド・プロセッサー・デザイン・ハンドブック

ID 726952
日付 10/31/2022
Public
ドキュメント目次

4.4.1. ブートフラッシュからの Nios® Vプロセッサー・アプリケーションのインプレースでの実行

インテルは、メモリー・コントローラーやメモリーデバイスを初期化する必要なく、システムのリセット時に Nios® Vプロセッサーがブート・フラッシュ・アドレス空間にすぐにアクセスできるように、Generic Serial Flash Interface Intel FPGA IPをデザインしました。これにより、 Nios® Vプロセッサーは、ブートデバイスに格納されたアプリケーション・コードを直接実行できます。ブートコピアーを使用して別のメモリータイプにコードをコピーする必要はありません。

Nios® Vプロセッサー・アプリケーションがブートフラッシュからインプレースで実行されると、BSP Editorは次の機能を実行します。
  • .text リンカーセクションをブート・フラッシュ・メモリー領域に設定します。
  • .bss.rodata.rwdata.stack および .heap リンカーセクションをRAMメモリー領域に設定します。
システムのリセット時にデータセクション (.rodata.rwdata.exceptions) をRAMにコピーするには、BSP Settingsalt_load() 関数をイネーブルする必要があります。コードセクション (.text) は、ブート・フラッシュ・メモリー領域に残ります。