Nios® Vエンベデッド・プロセッサー・デザイン・ハンドブック

ID 726952
日付 10/31/2022
Public
ドキュメント目次

4.5.1.2.1. ハードウェア・デザインフロー

以下の項では、GSFI Bootloaderを使用してコンフィグレーションQSPIフラッシュからRAMにコピーされたNios Vプロセッサー・アプリケーション向けのブート可能なシステムをビルドするための段階的な方法について説明します。次の例は、インテルArria 10 SoC開発キットを使用してビルドされています。

IPコンポーネントの設定

  1. インテル® Quartus® Primeおよびプラットフォーム・デザイナーを使用して、 Nios® Vプロセッサー・プロジェクトを作成します。
  2. Generic Serial Flash Interface Intel FPGA IPを、プラットフォーム・デザイナー・システムに追加します。
    図 33. Nios Vプロセッサー・プロジェクトの接続
    図 34. Generic Serial Flash Interface Intel FPGA IPのパラメーター設定
  3. QSPIフラッシュサイズに従って、Device Density (Mb) を変更します。
  4. Default Settingsのパラメーター・セクションのControl Register値のビット8を変更することによって、アドレッシング・モードを変更します。ビット8を0x0に変更すると3バイトのアドレッシングがイネーブルになり、0x1に変更すると4バイトのアドレッシングがイネーブルになります。
注:インテルFPGAデバイスの各フラッシュデバイスでサポートされているバイト・アドレッシング・モードを確認するには、Device Configuration Support CenterIntel Supported Configuration Devices tab > Intel Supported Third Party Configuration Devicesを参照してください。

例えば、 インテル® Arria® 10デバイスをMicronフラッシュデバイスと併用すると、4 バイトのアドレッシング・モードがサポートされます。

Nios® Vプロセッサーのブートコピア―方式のリセット・エージェント設定

  1. Nios® Vプロセッサー・パラメーター・エディターで、Reset AgentをQSPI Flashに設定します。
    図 35. Nios Vパラメーター・エディターの設定
  2. Generate HDLをクリックすると、Generationダイアログボックスが表示されます。
  3. 出力ファイルの生成オプションを指定し、Generateをクリックします。

インテルQuartus Prime開発ソフトウェアの設定

  1. インテルQuartus Prime開発ソフトウェアで、Assignment > Device > Device and Pin Options > Configurationをクリックします。
  2. Configuration schemeを、Active Serial x4 (can use Configuration Device) に設定します。
  3. Active serial clock sourceを、100 MHz Internal Oscillatorに設定します。
    図 36. Device and Pin Options
  4. OKをクリックして、Device and Pin Optionsウィンドウを終了します。
  5. OKをクリックして、Deviceウィンドウを終了します。
  6. Start Compilationをクリックして、プロジェクトをコンパイルします。