Nios® Vエンベデッド・プロセッサー・デザイン・ハンドブック

ID 726952
日付 10/31/2022
Public
ドキュメント目次

2.1.2. システム・コンポーネントのデザインの定義

プラットフォーム・デザイナーを使用して、 Nios® Vプロセッサー・システムのハードウェア特性を定義し、必要なコンポーネントを追加します。次の図は、次のコンポーネントを使用した基本的な Nios® Vプロセッサー・システムのデザインを示しています。

  • Nios® V/mプロセッサー・コア
  • On-Chip Memory
  • JTAG UART
  • Interval Timer (オプション)1

プラットフォーム・デザイナー・システムに新しいOn-Chip Memoryが追加された場合、Sync System Infosを実行して、追加されたメモリー・コンポーネントをリセットに反映させます。または、プラットフォーム・デザイナーAuto Syncをイネーブルして、最新のコンポーネントの変更を自動的に反映することができます。

図 10. プラットフォーム・デザイナーでの Nios® Vプロセッサーと他のペリフェラルの接続例

プラットフォーム・デザイナー・システムでコンジットとしてエクスポートする動作ピンも定義する必要があります。例えば、適切なFPGAシステム動作ピンのリストは次のように定義されていますが、これらに限定されません。

  • クロック
  • リセット
  • I/O信号
1 Nios V Internal Timer機能を使用して、プラットフォーム・デザイナーの外部Interval Timerを置き換えるオプションがあります。