Nios® Vエンベデッド・プロセッサー・デザイン・ハンドブック

ID 726952
日付 10/31/2022
Public
ドキュメント目次

4.6.1.1. ハードウェア・デザインフロー

以下の項では、OCRAMから Nios® Vプロセッサー・アプリケーション用のブート可能なシステムをビルドするための段階的な方法について説明します。以下の例は、インテルArria 10 SoC開発キットを使用してビルドされています。

IPコンポーネントの設定

  1. インテル® Quartus® Primeおよびプラットフォーム・デザイナーを使用して、 Nios® Vプロセッサー・プロジェクトを作成します。
  2. On-Chip Memory (RAM or ROM) Intel FPGAがプラットフォーム・デザイナー・システムに追加されていることを確認します。
  3. オンチップメモリーの ram.hexInitialize memory contentおよびEnable non-default initialization fileをイネーブルします。
図 74.  Nios® Vプロセッサー・プロジェクトの接続
図 75. On-Chip Memory (RAM or ROM) Intel FPGA IPのパラメーター設定

Nios® Vプロセッサーのリセット・エージェントの設定

  1. Nios® Vプロセッサー・パラメーター・エディターで、Reset AgentをOCRAMに設定します。
    図 76. Nios Vプロセッサー・パラメーター・エディターの設定
  2. Generate HDLをクリックすると、Generationダイアログボックスが表示されます。
  3. 出力ファイルの生成オプションを指定し、Generateをクリックします。

インテル® Quartus® Primeの設定

  1. インテルQuartus Prime開発ソフトウェアで、Assignment Device Device and Pin Options Configurationをクリックします。
  2. FPGAコンフィグレーション・スキームに従って、Configuration schemeを設定します。
  3. OKをクリックして、Device and Pin Optionsウィンドウを終了します。
  4. OKをクリックして、Deviceウィンドウを終了します。
  5. Start Compilationをクリックして、プロジェクトをコンパイルします。