インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン上の推奨事項

ID 683082
日付 4/13/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.3.6.2.2. TMC-20002: Timing Paths with Removal Slack Exceeding Threshold

内容

このルールに違反すると、スラックしきい値パラメーターを下回る除去スラックを持つタイミングパスが識別されます。非常に大きな負の削除要件を持つタイミングパスはタイミング・クロージャーを複雑にし、過度の実行時間を引き起こす可能性があります。このようなパスはおそらく無効であり、適切なタイミング制約が必要です。

パラメーター

デフォルト値 説明
removal_requirement_threshold_level -0.5 ns

このパラメーターで指定された値よりも低い除去時間スラックを持つタイミングパスに対して違反が報告されます。

推奨度

各タイミングパスが有効であることを確認し、SDC制約を適用してパスをカットするか、スラックを調整します。例えば: 

  • set_clock_groupsは、無効なクロック・ドメイン・クロッシング・パスを回避します。
  • 無効なタイミングパスのset_false_path
  • set_multicycle_pathは、マルチサイクル・セットアップ・パスのクロックエッジを調整します。

重大度

High

ステージ

Plan

デバイスファミリー

  • インテル® Stratix® 10
  • インテル® Agilex™
  • インテル® Cyclone® 10 GX
  • インテル® Arria® 10