インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン上の推奨事項

ID 683082
日付 4/13/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.3.6.6.6. RES-50003: Asynchronous Reset Missing Timing Constraint

内容

非同期リセット信号の解放には、リセットシンクロナイザー・チェーンが続くだけでなく、Timing Analyzerが非同期リセット信号をタイミングのとれた同期転送として解析できないように制限する必要があります。静的タイミング分析では、このようなパスを正確に分析できません。

Design Assistantは、次のいずれかの条件下でリセット転送を非同期として識別できます。

  • リセット信号は制約のない入力からのもの
  • リセット信号のクロックドメインは、リセットされるレジスターのラッチドメインとは無関係/非同期である

推奨度

リセット転送が非同期であることが意図されている場合、set_false_pathまたはset_clock_groups -asynchronous制約で制約するか、または値がラッチクロックの周期よりも大きいset_max_delay制約で制約して転送のタイミングを緩和します。

図 59. リセット・シンクロナイザー・チェーンの例次の例は、リセット・シンクロナイザー・チェーンを示しています。Design Assistant違反RES-50003を防止するには、非同期リセットソースからすべてのレジスターの非同期リセットピンへの転送で、フォルスパス、非同期クロックグループ、または最大遅延の緩和を指定します。

重大度

High

ステージ

Plan, Place, Route, Final

デバイスファミリー

  • インテル® Agilex™
  • インテル® Stratix® 10
  • インテル® Cyclone® 10 GX
  • インテル® Arria® 10