インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン上の推奨事項

ID 683082
日付 4/13/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.2.3.4. 異なるクロックの使用

クロック多重化を使用して、異なるクロックソースで同じロジック機能を動作させます。これらのデザインでは、多重化によりクロックソースが選択されます。

例えば、複数の周波数規格を扱う通信アプリケーションでは、しばしば多重化クロックを使用します。

図 10. 多重化ロジックおよびクロックソース

クロック信号に多重化ロジックを追加すると、前のセクションで説明した問題が発生する可能性がありますが、多重化クロックの要件はアプリケーションによって大きく異なります。クロック信号がグローバル・クロック・ルーティング・リソースを使用し、以下の基準が満たされている場合、クロック多重化は受け入れ可能です。

  • 最初のコンフィグレーション後、クロック多重化ロジックが変更されていない。
  • デザインで多重化ロジックを使用してテスト用クロックを選択している。
  • クロック切り替え時に常にレジスターがリセットされる。
  • クロック切り替えに続く一時的な不正応答で、悪い結果が発生していない。

デザインがリセット信号なしでリアルタイムでクロックを切り替え、デザインが一時的に誤った応答を許容できない場合、レジスターのタイミング違反、クロック信号のグリッチ、競合状態、または他の論理的な問題がないように同期デザインを使用する必要があります 。デフォルトでは、 インテル® Quartus® Primeソフトウェアは、マルチプレクサーを通過する可能性のあるすべてのパス、およびマルチプレクサーから送られる可能性のある両方の内部クロック間の最適化と分析を行います。これにより、マルチプレクサーが常に1つの特定のクロックを選択している場合、必要以上に制限的な分析が行われる可能性があります。より完全な分析が必要ない場合、 インテル® Quartus® Primeソフトウェアのベースクロックとしてマルチプレクサーの出力を割り当てることができます。これにより、すべてのレジスター間パスがそのクロックを使用して分析されます。

ヒント: 多重化ロジックを使用する代わりに、専用ハードウェアを使用して、使用可能な場合にクロック多重化を実行します。たとえば、特定のIntel FPGAデバイスで使用可能なクロック切り替え機能またはクロック制御ブロックを使用できます。これらの専用ハードウェアブロックにより、グローバルな低スキュー・ルーティング・ラインを使用し、クロックラインのロジック遅延によるデバイスのホールドタイムの問題を回避できます。
注: クロッキング構造に関するデバイス固有の情報については、適切なデバイスのデータシートまたはハンドブックを参照してください。