インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン上の推奨事項

ID 683082
日付 4/13/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.2.3.5. ゲート付きクロックの使用

ゲーテッドクロックは、ゲーティング回路を制御するイネーブル信号を使用してクロック信号をオンまたはオフにします。 クロックがオフになると、対応するクロックドメインがシャットダウンされ、機能的に非アクティブになります。
図 11. ゲート付きクロック

使用されていないデジタル回路の一部を効果的にシャットダウンすることにより、一部のデバイス・アーキテクチャーでゲートクロックを使用して消費電力を削減できます。クロックがゲートされると、クロック・ネットワークとそれによって駆動されるレジスターの両方がトグルを停止するため、電力消費への寄与がなくなります。ただし、ゲーテッドクロックは同期スキームの一部ではないため、デザインの実装と検証に必要な労力が大幅に増加する可能性があります。ゲーテッドクロックはクロックスキューの一因となり、デバイスの移行を困難にします。これらのクロックは、デザインエラーの原因となるグリッチにも敏感です。

ANDまたはORゲートではなく、専用のハードウェアを使用してクロック・ゲーティングを実行します。たとえば、新しいIntel FPGAデバイスのクロック制御ブロックを使用して、クロック・ネットワーク全体をシャットダウンできます。専用ハードウェアブロックにより、スキューの少ないグローバル・ルーティングを使用し、クロックラインのロジック遅延によるデバイスのホールドタイムの問題を回避できます。

機能的な観点から、同期クロックイネーブル信号を使用して、純粋に同期的な方法でクロックドメインをシャットダウンできます。ただし、同期クロック・イネーブル・スキームを使用する場合、クロック・ネットワークはトグルし続けます。この方法では、ソースでクロックをゲーティングするほど消費電力は削減されません。ほとんどの場合、同期スキームを使用します。