インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン上の推奨事項

ID 683082
日付 4/13/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.3.6.2.1. TMC-20001: Timing Paths With Impossible Hold Requirement

内容

負のホールド要件が非常に大きいタイミングパスは、タイミングクロージャを複雑にし、実行時間が極端に長くなる可能性があります。これらのパスは無効であり、次のような適切なタイミング制約が必要です。 

  • set_clock_groupsは、無効なクロック・ドメイン・クロッシング・パスを回避します。
  • 無効なタイミングパスのset_false_path
  • set_multicycle_pathは、マルチサイクル・セットアップ・パスのクロックエッジを調整します。
注: hold_requirement_threshold_levelルールパラメーターは、タイミング要件がより厳しい(つまり、スラック値が小さい)ホールドパスをフィルターで除外します。複数のクロックサイクルに対するデザインのクロック周期の特定の割合(たとえば、50%)であるこのパラメーターに負の値を指定します。

パラメーター

デフォルト値 説明
hold_requirement_threshold_level -0.5 ns

ホールド・タイム・スラックがこのパラメーターで指定された値よりも小さいタイミングパスの違反を報告します。

推奨度

タイミングパスが有効であることを確認します。それ以外の場合、適切な例外( set_false_pathまたはset_multicycle_path )を適用するか、パスを再構築します。

重大度

High

ステージ

Plan

デバイスファミリー

  • インテル® Stratix® 10
  • インテル® Agilex™
  • インテル® Cyclone® 10 GX
  • インテル® Arria® 10