HDMI インテル® Arria 10 FPGA IPデザイン例のユーザーガイド

ID 683156
日付 5/12/2021
Public
ドキュメント目次

1.2. デザインのシミュレーション

HDMIのテストベンチでは、TXインスタンスからRXインスタンスへのシリアル・ループバックのデザインをシミュレーションします。テストベンチでは、内部ビデオ・パターン・ジェネレーター、オーディオ・サンプル・ジェネレーター、サイドバンド・データ・ジェネレーター、および補助データ・ジェネレーター・モジュールがHDMI TXインスタンスを駆動し、TXインスタンスからのシリアル出力がRXインスタンスに接続します。
図 3. デザインのシミュレーション・フロー
  1. 目的のシミュレーション・フォルダーに移動します。
  2. サポートされているシミュレーターのうち、選択したシミュレーターのシミュレーション・スクリプトを実行します。スクリプトは、シミュレーターでテストベンチをコンパイルして実行します。
  3. 結果を解析します。
    表 1.  シミュレーションの実行ステップ
    シミュレーター 作業ディレクトリー 命令
    Riviera-PRO* /simulation/aldec
    コマンドラインで、次のように入力します。
    vsim -c -do aldec.do
    NCSim /simulation/cadence
    コマンドラインで、次のように入力します。
    source ncsim.sh
    ModelSim* /simulation/mentor
    コマンドラインで、次のように入力します。
    vsim -c -do mentor.do
    VCS* /simulation/synopsys/vcs
    コマンドラインで、次のように入力します。
    source vcs_sim.sh
    VCS* MX /simulation/synopsys/vcsmx
    コマンドラインで、次のように入力します。
    source vcsmx_sim.sh
    Xcelium* Parallel /simulation/xcelium コマンドラインで、次のように入力します。
    source xcelium_sim.sh
    シミュレーションが成功すると、次のメッセージが表示されます。
    # SYMBOLS_PER_CLOCK 	= 2
    # VIC               	= 4
    # FRL_RATE          	= 0
    # BPP               	= 0
    # AUDIO_FREQUENCY (kHz)  = 48
    # AUDIO_CHANNEL     	= 8
    # Simulation pass