HDMI インテル® Arria 10 FPGA IPデザイン例のユーザーガイド

ID 683156
日付 5/12/2021
Public
ドキュメント目次

2.12. ハードウェアの設定

FRLが有効になっているHDMIのデザイン例はHDMI 2.1に対応しており、標準HDMIビデオストリームのループスルーのデモンストレーションを実行します。
ハードウェアのテストを実行するには、HDMI対応デバイス (HDMIインターフェイスを備えるグラフィック・カードなど) をHDMIシンク入力に接続します。このデザインは、HDMI 2.1またはHDMI 2.0/1.4bのソースとシンクをサポートします。
  1. HDMIシンクは、ポートを標準ビデオストリームにデコードし、クロック・リカバリー・コアに送信します。
  2. HDMI RXコアは、ビデオデータ、補助データ、およびオーディオデータをデコードします。それらは、DCFIFOを介して並列にHDMI TXコアにループバックされます。
  3. FMCドーターカードのHDMIソースポートは、画像をモニターに送信します。
注: 別のインテルFPGA開発ボードを使用する場合は、デバイスの割り当てとピンの割り当てを変更する必要があります。トランシーバーのアナログ設定は、 インテル® Arria® 10 FPGA開発キットとBitec HDMI 2.1ドーターカードに対してテストされます。設定は、ご利用のボードに向けて変更することができます。
オンボードのプッシュボタンとユーザーLEDの機能
プッシュボタン/LED 機能
cpu_resetn

1回押すと、システムリセットが実行されます。

user_dipsw

パススルーモードを切り替えるユーザー定義のDIPスイッチ

  • オフ (デフォルト位置) = パススルー

    FPGAのHDMI RXは、外部シンクからEDIDを取得し、接続している外部ソースに提供します。

  • オン = RXの最大FRLレートを Nios® IIターミナルから制御することができます。このコマンドは、最大FRLレートの値を操作することにより、RX EDIDを変更します。

さまざまなFRLレートの設定については、異なるFRLレートでのデザインの実行 を参照してください。

user_pb[0]

1回押すと、HPD信号が標準のHDMIソースに切り替わります。

user_pb[1]

予約済み

user_pb[2]
1回押すと、Bitec HDMI 2.1 FMCドーターカードのTXに接続されているシンクからSCDCレジスターが読み出されます。
注: 読み出しを有効にするには、ソフトウェアでDEBUG_MODEを1に設定する必要があります。
USER_LED[0]
RX TMDSクロックPLLのロックステータス
  • 0 = ロックされていません
  • 1 = ロックされています
USER_LED[1]
RXトランシーバーのレディーステータス
  • 0 = レディーではありません
  • 1 = レディー状態です
USER_LED[2]
RXリンク・スピード・クロックのPLLと、RXビデオおよびFRLクロックのPLLのロックステータス
  • 0 = どちらかのRXクロックのPLLがロックされていない状態です
  • 1 = 両方のRXクロックのPLLがロックされています
USER_LED[3]
RX HDMIコアのアライメントとデスキューのロックステータス
  • 0 = 少なくとも1チャネルがロックされていません
  • 1 = すべてのチャネルがロックされています
USER_LED[4]
RX HDMIビデオのロックステータス
  • 0 = ロックされていません
  • 1 = ロックされています
USER_LED[5]
TXリンク・スピード・クロックのPLLと、TXビデオおよびFRLクロックのPLLのロックステータス
  • 0 = どちらかのTXクロックのPLLがロックされていない状態です
  • 1 = 両方のTXクロックのPLLがロックされています
USER_LED[6]
TXトランシーバーのレディーステータス
  • 0 = レディーではありません
  • 1 = レディー状態です
USER_LED[7]
TXリンク・トレーニングのステータス
  • 0 = 失敗
  • 1 = 合格