HDMI インテル® Arria 10 FPGA IPデザイン例のユーザーガイド

ID 683156
日付 5/12/2021
Public
ドキュメント目次

2.13. シミュレーションのテストベンチ

シミュレーションのテストベンチでは、RXコアへのHDMI TXシリアル・ループバックをシミュレーションします。
注: このシミュレーション・テストベンチは、Include I2Cパラメーターが有効になっているデザインではサポートされません。
図 19.  HDMI Intel® FPGA IPのシミュレーション・テストベンチのブロック図
表 24.  テストベンチのコンポーネント
コンポーネント 説明
Video TPG ビデオ・テスト・パターン・ジェネレーター (TPG) は、ビデオ・スティミュラスを提供します。
Audio Sample Gen オーディオ・サンプル・ジェネレーターは、オーディオ・サンプル・スティミュラスを提供します。ジェネレーターは、インクリメント・テスト・データ・パターンを生成します。これは、オーディオチャネルを介して送信されます。
Aux Sample Gen 補助サンプル・ジェネレーターは、補助サンプル・スティミュラスを提供します。ジェネレーターは、トランスミッターから送信される固定データを生成します。
CRC Check このチェッカーは、TXトランシーバーのリカバリークロック周波数が目的のデータレートに一致するかをチェックします。
Audio Data Check オーディオ・データ・チェックでは、インクリメント・テスト・データ・パターンを受信しており、正しくデコードされているかを比較します。
Aux Data Check 補助データチェックでは、レシーバー側で想定している補助データを受信しており、正しくデコードされているかを比較します。

HDMIのシミュレーション・テストベンチでは、次の検証テストを行います。

HDMIの機能 検証
ビデオデータ
  • テストベンチは、入力および出力ビデオでCRCチェックを実装しています。
  • 送信データのCRCの値を受信ビデオデータで計算されるCRCに対して確認します。
  • テストベンチはその後、レシーバーからの4つの安定しているV-SYNC信号を検出すると、チェックを行います。
補助データ
  • 補助サンプル・ジェネレーターは、トランスミッターから送信される固定データを生成します。
  • レシーバー側では、チェッカーは想定されている補助データを受信しており、正しくデコードされているかを比較します。
オーディオデータ
  • オーディオ・サンプル・ジェネレーターは、インクリメント・テスト・データ・パターンを生成します。これは、オーディオチャネルを介して送信されます。
  • レシーバー側では、オーディオ・データ・チェッカーで、インクリメント・テスト・データ・パターンを受信しており、正しくデコードされているかをチェックおよび比較します。

シミュレーションが成功すると、次のメッセージが表示されます。

# SYMBOLS_PER_CLOCK 	= 2
# VIC               	= 4
# FRL_RATE          	= 0
# BPP               	= 0
# AUDIO_FREQUENCY (kHz)  = 48
# AUDIO_CHANNEL     	= 8
# Simulation pass
表 25.   HDMI Intel® FPGA IPのデザイン例でサポートされるシミュレーター
シミュレーター Verilog HDL VHDL
ModelSim* - Intel® FPGA Edition/ ModelSim* - Intel® FPGA Standard Edition はい はい
VCS* / VCS* MX はい はい
Riviera-PRO* はい はい
NCSim はい いいえ
Xcelium* Parallel はい いいえ