インテルのみ表示可能 — GUID: gpr1476763567315
Ixiasoft
2.1. HDMI 2.1 RX-TX Retransmitデザインのブロック図
2.2. RX専用またはTX専用のデザインの作成
2.3. ハードウェアおよびソフトウェアの要件
2.4. ディレクトリー構造
2.5. デザインのコンポーネント
2.6. Dynamic Range and Mastering (HDR) InfoFrameの挿入とフィルタリング
2.7. デザインのソフトウェア・フロー
2.8. 異なるFRLレートでのデザインの実行
2.9. クロックスキーム
2.10. インターフェイスの信号
2.11. RTLパラメーターの設計
2.12. ハードウェアの設定
2.13. シミュレーションのテストベンチ
2.14. デザインにおける制限
2.15. デバッグの機能
2.16. デザインのアップグレード
インテルのみ表示可能 — GUID: gpr1476763567315
Ixiasoft
1.2. デザインのシミュレーション
HDMIのテストベンチでは、TXインスタンスからRXインスタンスへのシリアル・ループバックのデザインをシミュレーションします。テストベンチでは、内部ビデオ・パターン・ジェネレーター、オーディオ・サンプル・ジェネレーター、サイドバンド・データ・ジェネレーター、および補助データ・ジェネレーター・モジュールがHDMI TXインスタンスを駆動し、TXインスタンスからのシリアル出力がRXインスタンスに接続します。
図 3. デザインのシミュレーション・フロー
- 目的のシミュレーション・フォルダーに移動します。
- サポートされているシミュレーターのうち、選択したシミュレーターのシミュレーション・スクリプトを実行します。スクリプトは、シミュレーターでテストベンチをコンパイルして実行します。
- 結果を解析します。
表 1. シミュレーションの実行ステップ シミュレーター 作業ディレクトリー 命令 Riviera-PRO* /simulation/aldec コマンドラインで、次のように入力します。vsim -c -do aldec.do
NCSim /simulation/cadence コマンドラインで、次のように入力します。source ncsim.sh
ModelSim* /simulation/mentor コマンドラインで、次のように入力します。vsim -c -do mentor.do
VCS* /simulation/synopsys/vcs コマンドラインで、次のように入力します。source vcs_sim.sh
VCS* MX /simulation/synopsys/vcsmx コマンドラインで、次のように入力します。source vcsmx_sim.sh
Xcelium* Parallel /simulation/xcelium コマンドラインで、次のように入力します。 source xcelium_sim.sh
シミュレーションが成功すると、次のメッセージが表示されます。# SYMBOLS_PER_CLOCK = 2 # VIC = 4 # FRL_RATE = 0 # BPP = 0 # AUDIO_FREQUENCY (kHz) = 48 # AUDIO_CHANNEL = 8 # Simulation pass