HDMI インテル® Arria 10 FPGA IPデザイン例のユーザーガイド

ID 683156
日付 5/12/2021
Public
ドキュメント目次

1.1. デザインの生成

インテル® Quartus® Prime開発ソフトウェアでHDMI Intel® FPGA IPのパラメーター・エディターを使用し、デザイン例を生成します。
図 2. デザインの生成フロー
  1. インテル® Arria® 10 デバイスファミリーをターゲットとするプロジェクトを作成し、目的のデバイスを選択します。
  2. IP Catalogで、Interface Protocols > Audio & Video > HDMI Intel® FPGA IP を見つけてダブルクリックします。New IP VariantまたはNew IP Variationウィンドウが表示されます。
  3. カスタムIPのバリエーションのトップレベル名を指定します。パラメーター・エディターは、IPのバリエーションの設定を <your_ip>.ipまたは <your_ip>.qsysという名前のファイルに保存します。
  4. OKをクリックします。パラメーター・エディターが表示されます。
  5. IPタブで、TXとRXの両方に必要なパラメーターをコンフィグレーションします。
  6. Support FRLパラメーターをオンにし、FRLモードでHDMI 2.1のデザイン例を生成します。このパラメーターをオフにすると、FRLなしのHDMI 2.0のデザイン例が生成されます。
  7. Design Exampleタブで、Arria 10 HDMI RX-TX Retransmitを選択します。
  8. Simulationを選択してテストベンチを生成し、Synthesisを選択してハードウェアのデザイン例を生成します。
    デザイン例のファイルを生成するには、これらのオプションの1つを少なくとも選択する必要があります。両方を選択すると、生成時間が長くなります。
  9. Generate File Formatには、VerilogまたはVHDLを選択します。
  10. Target Development Kitには、Intel Arria 10 GX FPGA Development Kitを選択します。開発キットを選択すると、ターゲットデバイス (ステップ4で選択) がターゲットボード上のデバイスに一致するように変更されます。Intel Arria 10 GX FPGA Development Kitの場合、デフォルトのデバイスは10AX115S2F4I1SGです。
  11. Generate Example Designをクリックします。