PCI Express*向け F-タイル Avalon® ストリーミングのインテル® FPGA IPユーザーガイド

ID 683140
日付 4/27/2023
Public
ドキュメント目次

7.3. エンドポイント・テストベンチ

サンプルデザインとテストベンチは、PCIe用のF-タイルIP用に選択したコンフィグレーションに基づいて動的に生成されます。テストベンチは、 インテル® Quartus® Primeのパラメーター・エディターで指定したパラメーターを使用します。

このテストベンチは、シリアルPCIExpressインターフェイスを使用して最大x16PCIExpressリンクをシミュレートします。 テストベンチのデザインでは、一度に複数のPCIExpressリンクをシミュレートできます。 次の図は、デザイン例の概要を示しています。
図 67. エンドポイントデザインのデザイン例

テストベンチのトップレベルは、次のメインモジュールをインスタンス化します。

  • altpcietb_bfm_rp_gen4_x16.sv —これはルートポートBFMです。
    //Directory path
    <project_dir>/pcie_avst_f_0_example_design/pcie_ed_tb/ip/pcie_ed_tb/dut_pcie_tb_ip/intel_pcie_ftile_tbed_<ver>
  • pcie_ed_dut.ip: これは、指定したパラメーターを使用したエンドポイントのデザインです。
    //Directory path
    <project_dir>/pcie_avst_f_0_example_design/ip/pcie_ed
  • pcie_ed_pio0.ip:このモジュールは、PIOデザイン例のトランザクションのターゲットおよびイニシエーターです
    //Directory path
    <project_dir>/intel_pcie_ftile_ast_0_example_design/ip/pcie_ed

さらに、テストベンチには次のタスクを実行するルーチンがあります。

  • 必要な周波数でEndpointのリファレンス・クロックを生成します。
  • 起動時にPCI Expressリセットを提供します。

PIOデザイン例テストベンチおよびSR-IOVデザイン例テストベンチの詳細については、インテル FPGAF-タイル Avalon® PCI ExpressのストリーミングIPデザイン例ユーザーガイドを参照してください。

注: デフォルトでは、 <project_dir>/pcie_avst_f_0_example_design/pcie_ed_tb/ip/pcie_ed_tb/dut_pcie_tb_ip/intel_pcie_ftile_tbed_<ver>/sim/intel_pcie_ftile_tbed_hwtcl.vserial_sim_hwtclパラメーターはシリアル・シミュレーションでは1に設定されます。 F-タイルは、並列PIPEシミュレーションをサポートしていません。