SDI II Intel® FPGA IPユーザーガイド

ID 683133
日付 10/05/2023
Public
ドキュメント目次

5.3.2. CRCの挿入/チェック

HD-SDIには、オプションでラインベースのCRCコードを含めることができます。これは、SMPTE ST 292仕様で定義されている2つのEAV拡張ワードを構成します。

このサブモジュールは、SMPTE仕様のLFSRアプローチに基づいてCRCを計算します。CRCを挿入またはチェックするようにこのサブモジュールをコンフィグレーションできることに注意してください。

トランスミッターの場合、コアはCRCをフォーマット化して、2つのCRC EAV拡張ワード (CRC0およびCRC1) に挿入します。正しいCRCの生成と挿入を行うには、ライン番号の挿入のタイミング図に示すように、EAV TRSとSAV TRSの両方の最初のワードに対して tx_trs 信号をアサートします。トップレベル・ポート tx_enable_crc がロジック1に設定されている場合にのみCRC挿入を実行します。

レシーバーの場合、コアは受信ストリームに現れるCRC0およびCRC1の値と比較してCRCをチェックします。ローカルで計算された値とストリーム内の値の間に不一致がある場合、このサブモジュールはエラーを示します。