SDI II Intel® FPGA IPユーザーガイド

ID 683133
日付 10/05/2023
Public
ドキュメント目次

7.1.2.6. インテル® Arria® 10および インテル® Cyclone® 10 GXデバイスを使用したSDIマルチレートRXの制約のないクロック

インテル® Arria® 10または インテル® Cyclone® 10 GXデバイスでSDI Multiレート (最大12G) RXプリセットを使用している場合、Transceiver Native PHY Intel Arria 10/Cyclone 10 FPGA IPコアからのいくつかの制約のないクロックが観察されます。

例えば、シンプレックス・コンフィグレーションのRX PHYでは次のレポートが観察される場合があります。

<Rx PHY path …|… 
gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_reg.reg>
この違反を解決するには、.sdc ファイルに次の制約を適用します。
  1. 生成されたクロック名を作成します (このクロックに名前を付けます)。
    create_generated_clock -name (Clock Name, e.g. <Rx PHY path>||rx_clk}
    -source {<Rx PHY path>|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|
    inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_2_reg} \\
        
    -divide_by 2 -multiply_by 1 -duty_cycle 50.00 \\
    
    {<Rx PHY path>|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|
    inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs~byte_deserializer_pcs_clk_div_by_2_reg.reg}
    
  2. フォルスパスを設定します。
    set_false_path [get_clocks {<Clock name given in (1), e.g. <Rx PHY path>||rx_clk}]
注: パラメーター・エディターで提供されるデザイン例については、生成された .sdc ファイル (sdi_ii_a10_demo.sdc ( インテル® Arria® 10) または sdi_ii_c10_demo.sdc ( インテル® Cyclone® 10 GX)) を参照してください。