アルテラのHigh-Definition Multimedia Interface (HDMI) IPコアのユーザー・ガイド

ID 683798
日付 5/02/2016
Public
ドキュメント目次

7. HDMIシミ​​ュレーションの例

アルテラのHDMIシミ​​ュレーションの例では、HDMI IPコアの機能性を評価し、独自のシミュレーションを作成するための出発点を提供します。
このシミュレーション例では、ModelSimのSEシミュレータをターゲットにしています。シミュレーションでは、次のコア機能について説明します。
  • IEC-60958オーディオ・フォーマット
  • スタンダードH/V/DE/RGB入力ビデオ・フォーマット
  • クロック当たり4シンボルのサポート
  • HDMI 2.0スクランブル操作のサポート
図 37. VHDLテストベンチ

テスト・パターン・ジェネレータ(TPG)は、ビデオ刺激を提供します。 IPコアは、オーディオパケット・ジェネレータと補助パケット・ジェネレータを使用してHDMI TXコアを刺激します。 HDMI TXコアからの出力は、HDMI RXコアを駆動します。

IPコアは、HDMI 2.0は、スクランブルのためのテストベンチを動作させるために、メモリ・マップされたマスタの刺激を必要とします。この刺激は、通常、I2 C DDCチャネルにわたって見られる活動を実装しています。この時点で、IPコアは、スクランブルがSCDCレジスタのビットを有効にアサートします。

テストベンチは、CRCが入力と出力の映像にチェックを実装しています。テストベンチは、受信したビデオ・データで計算されたCRCに対して送信されたデータのCRC値をチェックします。テストベンチは、レシーバから4安定したV-SYNC信号を検出した後のチェックを実行します。

AUXサンプル・ジェネレータは、トランスミッタから送信される固定データを生成します。レシーバの側では、ジェネレータは、予想される補助データを受信し、正しく復号されたかどうかを比較します。

オーディオ・サンプル・ジェネレータは、音声チャネルを介して送信されるインクリメント・テスト・データ・パターンを生成します。レシーバの側では、音声データ・チェッカ・チェックとインクリメントテストデータパターンが受信されて正しく復号されたかどうかを比較します。