インテル® Stratix® 10 ハード・プロセッサー・システム (HPS) コンポーネント・リファレンス・マニュアル

ID 683516
日付 11/30/2018
Public
ドキュメント目次

2.2.2.2. HPS to FPGA AXI-4マスター・インターフェイス

HPS-to-FPGA AXI* -4 マスター・インターフェイスにより、HPSマスターはFPGA ファブリックにトランザクションを発行できるようになります。また、以下の機能が利用可能です。
  • Enable/Data Widthドロップダウンは、このマスター・インターフェイスのデータ幅を32、64もしくは128ビットにコンフィグレーションします。
  • Ready Latency pipelineドロップダウンは、FPGA ファブリックで柔軟に利用可能なレディー・レイテンシーのパイプライン化をコンフィグレーションします。これは、FPGA-to-HPS境界のタイミング・クロージャーを容易にし、深度0 (なし)、1、2、3、4にコンフィグレーション可能です。
  • Bridge address widthは、32ビットから20ビットまでコンフィグレーション可能です。このブリッジが有効になると、h2f_axi_masterh2f_axi_clockおよびh2f_axi_resetインターフェイスが利用できるようになります。

このブリッジはFPGA ファブリックからクロック入力を受け取り、クロック・ドメイン・クロッシングを内部で実行します。公開された AXI* インターフェイスは、FPGA ファブリックから供給されるクロックと同じクロックドメインで動作します。Avalon-MMインターフェイスへの接続など、FPGA ファブリックの他のインターフェイス標準は、ソフト・ロジック・アダプターを利用しサポート可能です。プラットフォーム・デザイナー・システム統合ツールは、 AXI* をAvalon-MMインターフェイスに接続するアダプターロジックを自動的に生成します。