SDI II Intel® FPGA IPユーザーガイド

ID 683133
日付 10/05/2023
Public
ドキュメント目次

8.2.3. SDI II IPコアデザインのシミュレーション

デザインの生成後、シミュレーション・テストベンチ・ディレクトリーにあるファイルを使用して、デザインをシミュレーションできるようになります。

SDI II IPコアでは、次の表に一覧表示されているEDAシミュレーターをサポートしています。

表 73.  サポートされているEDAシミュレーター

シミュレーター

サポートされているプラットフォーム

サポートされている言語

ModelSim SE*

Windows*/Linux*

VHDLおよびVerilog HDL

Questa* Intel® FPGA Edition

Windows/Linux

Verilog HDL

Synopsys VCS/VCS MX

Windows/Linux

Verilog HDL

Aldec Riviera-PRO

Linux

Verilog HDL

ModelSim SE*または Questa* Intel® FPGA Editionシミュレーターを使用してデザインをシミュレーションするには、次の手順に従います。

  1. シミュレーターを起動します。
  2. Fileメニューで、Change Directory > Select <simulation folder>/<preferred HDL>/mentorをクリックします。
  3. 提供された run_sim.tcl スクリプトを実行します。このファイルはデザインをコンパイルし、シミュレーションを自動的に実行します。完了時に、合格/不合格が表示されます。

VCS/VCS MXシミュレーター (Linuxの場合) を使用してデザインをシミュレーションするには、次の手順に従います。

  1. VCS/VCS MXシミュレーターを起動します。
  2. Fileメニューで、Change Directory > Select <simulation folder>/<preferred HDL>/synopsysをクリックします。
  3. 提供されている run_vcs.sh (VCSの場合) または run_vcsmx.sh (VCSMXの場合) スクリプトを実行します。このファイルはデザインをコンパイルし、シミュレーションを自動的に実行します。 完了時に合格/不合格が表示されます。

Aldec Riviera-PROシミュレーターを使用してデザインをシミュレーションするには、次の手順に従います。

  1. Aldec Riviera-PROシミュレーターを起動します。
  2. Fileメニューで、Change Directory > Select <simulation folder>/<preferred HDL>/aldecをクリックします。
  3. 提供された run_riviera.tcl スクリプトを実行します。このファイルはデザインをコンパイルし、シミュレーションを自動的に実行します。完了時に合格/不合格が表示されます。