インテル® Hyperflex™ アーキテクチャー高性能デザイン・ハンドブック

ID 683353
日付 10/04/2021
Public
ドキュメント目次

5.1. dont_merge合成属性の設定 

次の例に示すように、HDLコードでdont_merge属性を設定できます。
表 12.  HDLコードでの属性の設定 dont_mergeは、 my_regレジスターのマージを防ぎます。
HDLHDL コード
Verilog HDL
reg my_reg /* synthesis noprune = 1 */;
Verilog-2001およびSystemVerilog
(* dont_merge *)reg my_reg; 
VHDL
signal my_reg : stdlogic;
attribute dont_merge : boolean;
attribute dont_merge of my_reg : signal is true;