インテル® Hyperflex™ アーキテクチャー高性能デザイン・ハンドブック

ID 683353
日付 10/04/2021
Public
ドキュメント目次

2.3.2.1.1. レイテンシー・インセンシティブのフォールスパスの指定

レイテンシー非依存のfalseパスを指定して、Hyper-Retimerがパスにパイプライン・ステージを自動的に追加できるようにすることができます。信号処理デザインのように、低速コンフィギュレーション・クロック・ドメインと高速データパス・クロック・ドメインの間など、クロス・クロック・ドメイン・パスでのみ、レイテンシーに影響されないフォールスパスを指定します。

set_false_path例外にlatency_insensitiveオプションを指定して、falseパスをレイテンシー非依存として指定します。次の例に示すように、fromおよびtoオプションのクロック名を指定します。

set_false_path -latency_insensitive -from  [get_clocks {clock_a}]  \
     -to  [get_clocks {clock_b}]

fromまたはtoオプションのレジスター、セル、ネット、ピン、またはキーパー名を指定する構文エラーではありませんが、コンパイラーはフォールスパスをリタイミングの制限として解釈し、Hyper-Retimerがそれらのエンドポイントをリタイミングしないようにします。register-to-registerのfalseパスでlatency_insensitiveオプションを使用しても利点はありません。

注: set_false_path 制約は、他のすべてのパスベースのSDC制約よりも優先されます。遅延の影響を受けない誤ったパスが、FIFO、バス・シンクロナイザー、またはパスベースの制約があるその他のクロスドメイン回線( set_max_skewset_max_delay、 また set_min_delay)、クロックツークロック set_false_path 制約はこれらの制約をオーバーライドします。パスベースの制約を使用してクロックドメインの交差を制約する場合、 レイテンシーインセンシティブ オプションはこれらの制約を上書きします。タイミング分析から実際にカットしたクロックドメイン交差パスでは、遅延の影響を受けない誤ったパスのみを使用してください。

次の図の上の図はデザインRTLを表しており、レイテンシー・インセンシティブのフォールスパスとしてタグ付けされたフォールスパスを示しています。下の図は、Hyper-Retimerがレイテンシーの影響を受けないフォールスパスのエンドポイントでレジスターの反対側にパイプライン・ステージを追加する方法を示しています。

Hyper-Retimerは、遅延の影響を受けないフォールスパスのソースの入力と、レイテンシー・インセンシティブのフォールスパスパスの宛先の出力にレジスターを追加できます。 Hyper-Retimerは、2つのクロックドメインを介してレジスターの時間を前後に変更します。

図 31. レイテンシー・インセンシティブのフォールスパスが回路に与える影響

Hyper-Retimerは、各クロス・クロック・ドメイン・パスのパフォーマンスを個別に分析して、自動的に追加するステージの数を決定します。 Hyper-Retimerは、クロス・クロック・ドメイン・パスごとに異なる数のステージを挿入する場合があります。

たとえば、latency_insensitiveオプションでカットされたクロックドメインを横切るバスは、Hyper-Retimerの実行後、バス内のビットごとに異なるレイテンシーを持つことができます。したがって、クロックドメインを通過するデータが多くのクロックサイクルにわたって一定のままであることを確認し、宛先で一定になるようにします。たとえば、これは、各ビットのレイテンシーが異なるバスで発生する可能性があります。

コンパイルレポートには、Hyper-Retimerが遅延の影響を受けないfalseパスで挿入するステージの数は表示されません。ただし、Hyper-Retimerの終了後にタイミング・ネットリストで接続性を調べて、ステージ数を決定できます。