インテル® Hyperflex™ アーキテクチャー高性能デザイン・ハンドブック

ID 683353
日付 10/04/2021
Public
ドキュメント目次

2.2.6. 準安定シンクロナイザー

Compilerはシンクロナイザ・チェインの一部であるレジスターを検出します。Compilerは、シンクロナイザ・チェイン内のレジスターをリタイムすることはできません。シンクロナイザ・チェイン内のレジスターのリタイミングを可能にするには、クロック・ドメイン境界にパイプライン・レジスターを追加します。

準安定シンクロナイザー・チェインの長さ インテル® Hyperflex™ アーキテクチャーFPGAは3つです。クリティカル・チェインレポートは、メタスタビリティに必要なレジスターをREG (Metastability required)テキストでマークするようになりました。

デザインに2つのレジスターチェーンがシンクロナイザーとして含まれている場合、次の設定を指定して、デフォルトのチェーン長を3から2に変更できます。

  1. Assignments > Settingsをクリックします。
  2. Categoryの下のCompiler Settingsをクリックします。
  3. Advanced Settings (Synthesis)ボタンをクリックします。
  4. Synchronization Register Chain Lengthの場合、Settingカラムでの2を入力します。

または、.qsfファイルでこの設定を指定します。

set_instance_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 \
     -to * -entity <top_module_name>