Intel Agilex® 7 エンベデッド・メモリー・ユーザーガイド

ID 683241
日付 4/10/2023
Public
ドキュメント目次

4.3.11. DCFIFO のタイミング制約の設定

FIFO のパラメーター・エディターは、DCFIFO 機能に対するタイミング制約の設定を提供します。

表 49.   インテル® Quartus® Prime 開発ソフトウェアの DCFIFO タイミング制約設定パラメーター
パラメーター 詳細
Generate SDC File and disable embedded timing constraint33 同期レジスターで set_false_path を使用している組み込みタイミング制約をバイパスすることができます。DCFIFO が IP Catalog からインスタンス化されると、ユーザーでコンフィグレーション可能な SDC ファイルが自動的に生成されます。新しいタイミング制約は、set_net_delayset_max_skewset_min_delayset_max_delay で構成され、デザインを適切に制約するために使用されます。
注: インテルでは、高周波数の DCFIFO デザインにこのオプションを選択し、タイミング・クロージャーを達成することを推奨しています。詳細は、ユーザーでコンフィグレーション可能なタイミング制約 を参照してください。
33 以前の インテル® Quartus® Prime のバージョンおよび他のデバイスでは、QSF 設定で組み込みタイミング制約を無効にすることができます。QSF 割り当ての設定に関しては、KDB リンクを参照してください。