Intel Agilex® 7 エンベデッド・メモリー・ユーザーガイド

ID 683241
日付 4/10/2023
Public
ドキュメント目次

4.3.11.1. 組み込みタイミング制約

インテル® Quartus® Primeタイミング・アナライザーを DCFIFO ブロックを含むデザインで使用する場合は、次のフォルスパスを適用して同期レジスターのタイミング障害を回避します。
  • 書き込みドメインから読み出しドメインに渡るパスでは、delayed_wrptr_g レジスターと rs_dgwp レジスター間にフォルスパスの割り当てを適用します。

    set_false_path -from [get_registers {*dcfifo*delayed_wrptr_g[*]}] -to [get_registers {*dcfifo*rs_dgwp*}]

  • 読み出しドメインから書き込みドメインに渡るパスでは、rdptr_g レジスターと ws_dgrp レジスター間にフォルスパスの割り当てを適用します。

    set_false_path -from [get_registers {*dcfifo*rdptr_g[*]}] -to [get_registers {*dcfifo*ws_dgrp*}]

フォルスパスの割り当ては、デザインをコンパイルする際に、HDL に組み込まれている Synopsys Design Constraint (SDC) コマンドを介して自動的に追加されます。関連するメッセージは、タイミング・アナライザー・レポートの下に表示されます。

注: 制約は内部で適用されますが、Synopsis Design Constraint (.sdc) ファイルには書き込まれません。組み込まれているフォルスパスを表示するには、タイミング・アナライザー GUI のコンソールペインに report_sdc と入力します。

インテル® Quartus® Primeタイミング・アナライザーを使用する場合は、フォルスパスは自動的に DCFIFO に適用されます。

注: DCFIFO が ALM で実装されている場合は、(メモリーブロックを構成している) DFFE アレイのデータパスから q 出力レジスターのクロスドメイン・タイミング違反を無視することができます。q 出力が有効なことを保証するには、rdempty 信号のデアサート後にのみ出力をサンプリングします。