PCI Expressのマルチチャネル DMA インテル® FPGA IPユーザー ガイド

ID 683821
日付 4/20/2022
Public
ドキュメント目次

7.2. IPテストベンチのシミュレーション

インテル® Quartus® Prime プロ・エディションのソフトウェアは、PCI Express IP コア用のパラメーター化されたマルチチャネル DMA を生成するときに、機能シミュレーション・モデル、テストベンチまたはデザイン例、およびベンダー固有のシミュレーター・セットアップ・スクリプトをオプションで生成します。エンドポイントの場合、生成によりルート ポート BFM が作成されます。 インテル® Quartus® Prime プロ・エディションのこのリリースでは、ルート ポートはサポートされていません。

IP シミュレーション・モデルの生成を有効にするには、HDL を生成するときにCreate simulation modelVerilogまたは VHDLに設定します。
図 31.  インテル® Quartus® Prime プロ・エディション での PCI Express シミュレーション用マルチチャネル DMA IP

サポートされているシミュレーターについては、マルチチャネル DMA for PCI Express インテル FPGA IP デザイン例のユーザーガイドを参照してください。

注: Root Portシミュレーションは、VCS でのみサポートされています。
注: インテルテストベンチおよびRoot Port BFMまたはEndpoint BFMは、バリエーションにインターフェイス接続するアプリケーション・レイヤー・ロジックの基本的なテストを行う簡単な方法を提供します。このBFMを使用すると、コンフィグレーション可能なパラメーターを使用して、単純なタスク・スティミュラスを作成および実行し、デザイン例の基本的な機能を実行できます。テストベンチおよびBFMは、完全な検証環境の代わりになるものではありません。コーナーケースおよび特定のトラフィック・プロファイル・スティミュラスはカバーされません。可能な限り最高の検証カバレッジを確保するために、インテルは、市販のPCI Express検証IPおよびツールを入手するか、独自の広範なハードウェア・テストを行うか、またはその両方を行うことを強くお勧めします。