インテル® Quartus® Prime プロ・エディションのユーザーガイド: デザインの制約

ID 683143
日付 4/03/2023
Public
ドキュメント目次

1.2. Tclスクリプトを使用したデザイン制約

.sdc および .qsf 設定ファイルを使用して、すべてのデザイン割り当てを実行できます。これらのファイルをコンパイルおよび最適化フローに統合するには、Tclスクリプトを使用します。 .sdc および .qsf ファイルはTcl構文で記述されていますが、単独では実行できません。

インテル® Quartus® Prime Tclパッケージを使用すると、スクリプトでプロジェクトを開き、割り当てを行い、デザインをコンパイルし、コンパイル結果を既知の目標およびベンチマークと比較できます。さらに、このようなスクリプトは、制約を変更してデザインをリコンパイルすることにより、反復的なデザインプロセスを自動化できます。