インテル® Quartus® Prime プロ・エディションのユーザーガイド: デザインの制約

ID 683143
日付 4/03/2023
Public
ドキュメント目次

3.2.3. 差動ピンの割り当て

デザイン内のシングルエンドのトップレベル・ピンに差動I/O規格を割り当てると、Pin Plannerは自動的に負のピンを差動ピンペア割り当ての一部として認識し、負のピンを作成します。 インテル® Quartus® Prime開発ソフトウェアは、負のピンの位置割り当てを .qsf に書き込みます。ただし、I/O規格の割り当ては、差動ペアの負のピンの .qsf には追加されません。

次の例は、差動I/O規格を割り当てる lvds_in トップレベル・ピンを備えたデザインを示しています。Pin Plannerは、差動ピン lvds_in(n) を自動的に作成して、差動ピンペアを完成させます。

注: PLLに供給するシングルエンド・クロックがある場合は、ターゲットデバイスの差動ペアの正のクロックピンにのみピンを割り当てます。PLLに供給され、負のクロック・ピン・デバイスに割り当てられているシングルエンド・ピンは、デザインが適合しない原因になります。
図 58. Pin Plannerでの差動ピンペアの作成


デザインに特定のI/Oバンクで使用可能なピンを超える大きなバスが含まれている場合は、エッジ位置の割り当てを使用してバスを配置できます。エッジ位置の割り当てにより、回路基板の配線能力が向上します。これは、大型バスがエッジ付近で近接しているためです。次の図は、Intelデバイスのパッケージのエッジを示しています。

図 59.  Intelデバイスでの4つのエッジのダイビューとパッケージビュー

Package Viewで差動ピンペアを割り当てると、差動ピンペアの間に赤い接続線が表示されます。Package Viewでは、正と負のピンにそれぞれpおよびnの文字が付けられます。

図 60. 差動ピンペアの色分け