インテルのみ表示可能 — GUID: mwh1410471007402
Ixiasoft
1.2.1. プロジェクトの作成と制約の適用
コマンドライン実行可能なファイルには、共通のグローバル・プロジェクト設定およびコマンドのオプションが含まれています。Tclスクリプトを使用して、ピン位置やタイミングの割り当てなどの制約を適用することができます。 Tcl制約ファイルを作成したり、既存のプロジェクト用にTcl制約ファイルを生成したりするには、Project > Generate Tcl File for Projectをクリックします。
この例では、Tcl スクリプトを使用してプロジェクトを作成し、< Intel Quartus Prime installation directory> /qdesigns/fir_filter/ディレクトリーのチュートリアル・デザイン・ファイルを使用してプロジェクトの制約を適用します。
project_new filtref -overwrite # Assign family, device, and top-level file set_global_assignment -name FAMILY "Arria 10" set_global_assignment -name DEVICE <Device> set_global_assignment -name VERILOG_FILE filtref.v # Assign pins set_location_assignment -to clk Pin_28 set_location_assignment -to clkx2 Pin_29 set_location_assignment -to d[0] Pin_139 set_location_assignment -to d[1] Pin_140 # project_close
スクリプトをsetup_proj.tclという名前のファイルに保存し、コマンドプロンプトで例に示すコマンドを入力して、デザインを作成し、制約を適用し、デザインをコンパイルし、ファーストコーナーとスローコーナーのタイミング解析を実行します。タイミング解析の結果は、filtref_sta_1.rpt および filtref_sta_2.rpt の2つのファイルに保存されます。
quartus_sh -t setup_proj.tcl quartus_syn filtref quartus_fit filtref quartus_asm filtref quartus_sta filtref --model=fast --export_settings=off mv filtref_sta.rpt filtref_sta_1.rpt quartus_sta filtref --export_settings=off mv filtref_sta.rpt filtref_sta_2.rpt
次のコマンドを入力して、タイミング解析を実行せずにデザインを作成し、制約を適用し、デザインをコンパイルします。
quartus_sh -t setup_proj.tcl quartus_sh --flow compile filtref
quartus_sh --flow compile コマンドはフルコンパイルを実行し、ツールバーのStart Compilationボタンをクリックするのと同じです。