インテル® Quartus® Prime プロ・エディションのユーザーガイド: デザインの制約

ID 683143
日付 4/03/2023
Public
ドキュメント目次

2.1.2.6. 手順6: プランの制約の検証およびエクスポート

生成されたTclスクリプトとしてプランの制約をプロジェクトにエクスポートする前に、インターフェイス・プランを検証する必要があります。検証では、スクリプトを生成する前に、Fitterが配置されていない残りのすべてのデザイン要素を配置できることを確認する必要があります。 インターフェイス・プランがこれで良ければ、次の手順に従ってインターフェイス・プランを検証し、 インテル® Quartus® Primeプロジェクトに適用します。
  1. Flowコントロールで、Validate Planをクリックします。Fitterは、配置されていない残りのすべてのデザイン要素の配置を確認します。制約をエクスポートする前に、エラーを訂正する必要があります。
  2. 検証後、Export Constraintsをクリックして、プランをプロジェクトに適用するTclスクリプトを生成します。出力Tclファイルには、 インテル® Quartus® Primeプロジェクトにインターフェイス・プランを適用するための指示が含まれています。
  3. インターフェイス・プランナーを閉じます。
  4. エクスポートされたインターフェイス・プランの制約を インテル® Quartus® Primeプロジェクトに適用するには、Tools > Tcl Scriptsをクリックし、 <project name>.pdp_assignments.tcl スクリプトファイルを選択します。
  5. Runをクリックします。スクリプトが実行され、インターフェイス・プランナーの制約がプロジェクトに適用されます。もしくは、プロジェクト・ディレクトリーからスクリプトを実行することもできます。
    quartus_sh –t <assignments_file>.tcl
  6. 合成を実行してインターフェイス・プランをプロジェクトに適用するには、Start > Start Analysis & Synthesisをクリックします。
  7. Compilation Reportを確認して、プランの実行を確認します。