Parallel Flash Loader Intel® FPGA IPユーザーガイド

ID 683698
日付 7/23/2021
Public
ドキュメント目次

1.4.2.4. PFLタイミング制約の概要

表 8.  PFLタイミング制約
種類 ポート 制約タイプ 遅延値
入力クロック pfl_clk create_clock PFL IPコアでサポートされている最大周波数まで制約することができます。
入力非同期 pfl_nreset set_false_path
fpga_pgm set_false_path
fpga_conf_done set_false_path
fpga_nstatus set_false_path
pfl_flash_access_granted set_false_path
pfl_nreconfigure set_false_path
出力非同期 fpga_nconfig set_false_path
pfl_flash_access_request set_false_path
flash_nce set_max_delay -from pfl_clk -to <port>

Taccess/Tpage_access

とボード遅延によって決定されます。
flash_nwe set_false_path
flash_noe set_false_path
flash_addr set_max_delay -from pfl_clk -to <port>

Taccess/Tpage_access

とボード遅延によって決定されます。
双方向同期 flash_data
  • 読み出しモード (Flash ROMからPFL)

    set_max_delay -from <port> to pfl_clk

  • 書き込みモード (PFLからFlash ROM) set_false_path
読み出しモードの場合、

Taccess/Tpage_accessとボード遅延によって決定されます。
出力同期 fpga_data set_output_delay -clock fpga_dclk <port> FPGAのボード遅延とTSU/TDHによって決定されます。
出力クロック fpga_dclk
  • 入力クロックとDCLKの比率 = 1 create_generated_clock -source pfl_clk -invert <fpga_dclk port>

  • 入力クロックとDCLKの比率 >1 create_generated_clock -source pfl_clk -divide_by <ratio> <*fpga_dclk_reg at register>

    create_generated_clock -source <*fpga_dclk_reg at register> <fpga_dclk at port>
入力クロックとDCLKの比率 >1の場合、
起動クロックを使用してマルチサイクル・パスを設定します。
  • 比率の半分で関係を設定します。
  • 比率 -1で関係を保持します。