AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
日付 3/20/2018
Public
ドキュメント目次

4.3.3. Timing Constraints

You can convert constraints defined in XDC files to SDC commands that the インテル® Quartus® Primeプロ・エディション タイミング・アナライザー can use.

The following table summarizes the most common Vivado* XDC timing constraints and the equivalent SDC timing constraints. You can set the constraints by either modifying the .sdc file or by using the タイミング・アナライザー GUI.

表 58.   Vivado* XDC versus SDC Timing Constraints
Vivado* XDC Timing Constraint タイミング・アナライザー SDC Command Description
create_clock

create_generated_clock

set_max_delay

set_false_path

Defines all the clocks and their relationship in a design.
NA derive_pll_clocks Automatically creates a generated clock constraint on each output of the PLLs in a design.
注: Only インテル® Arria® 10 devices support this command
NA derive_clock_uncertainty Calculates clock-to-clock uncertainties within the FPGA due to characteristics like PLL jitter, clock tree jitter, etc. The タイミング・アナライザー generates a warning if the command is not present in the SDC files
set_input_delay Input timing constraint that you use to define the Pad-to-Setup timing requirement in a design.
set_output_delay Defines the global Clock to Pad timing requirement in a design.
set_max_delay Combinational path that constrains all combinational pin to pin paths.
set_false_path Eliminates the paths from timing consideration during Place and Route and timing analysis.
set_clock_groups w/- include_generated_clocks set_clock_groups Cuts timing between clocks in different groups.
NA derive_pll_clocks Vivado* tools auto-derive the generated clocks; therefore, XDC files do not require these constraints.
NA derive_clock_uncertainty

For more information about using the SDC commands and their usage, refer to the タイミング・アナライザー User Guide: インテル® Quartus® Primeプロ・エディション .