AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
日付 3/20/2018
Public
ドキュメント目次

3.2.1. Command-Line Executable Equivalents

The table and following sections describe and compare the two software flows using command line executables. The examples belong to the fir_filter design, included in the インテル® Quartus® Primeプロ・エディション installation.

表 6.  Command-Line Executable Equivalents
Xilinx* Vivado* Software インテル® Quartus® Primeプロ・エディション Software Description
Non-Project Mode Project Mode
read_ip add_files

import_files

quartus_ipgenerate IP generation
synth_design

opt_design

launch_runs synth_1 quartus_syn Elaboration checks for design files and project errors.

Translates project design files (for example, RTL or EDA netlist), and maps design elements to device resources.

place_design

phys_opt_design 9

route_design

phys_opt_design 9

launch_runs impl_1 quartus_fit (full compile)

OR

quartus_fit --plan

quartus_fit --early_place 9

quartus_fit --place

quartus_fit --route

quartus_fit --finalize

Places and routes the device resources into the FPGA.
Not Available quartus_fit --retime 10 Enabled by default, and runs before finalizing. Moves existing registers into Hyper-Registers for fine-grained performance improvement.
Not Available quartus_fit --fastforward 10 Disabled by default. Runs after retime but before finalize. Generates detailed reports that estimate performance gains achievable by making specific RTL modifications.
report_timing quartus_sta Performs a static timing analysis on the design.
write_bitstream quartus_asm Generates programming file from post-place-and-route design.
report_power quartus_pow Performs power estimation on the design.
write_sdf

write_verilog

write_vhdl

quartus_eda Generates output netlist files for use with other EDA tools.
write_checkpoint quartus_cdb Saves the snapshot of the design database.
Not Available quartus_sh --flow compile Automates the compilation flow.

For command line help on any of the インテル® Quartus® Prime executables, type <command-line executable> --help at the command prompt. A GUI-enabled help browser is also available that covers all インテル® Quartus® Prime command-line executables.

図 2. Command-Line HelpStart this browser by typing quartus_sh --qhelp at the command prompt.


9 Optional
10 Retime and Fast-Forward Compilation available only for インテル® Stratix® 10 devices.