AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
日付 3/20/2018
Public
ドキュメント目次

4.4.2. HDL Support for EDA Simulators

The インテル® Quartus® Primeプロ・エディション software provides the following HDL support for EDA simulators:

  • VHDL 1987 (IEEE Standard 1076-1987)
  • VHDL 1993 (IEEE Standard 1076-1993)
  • VHDL 2008 (IEEE Standard 1076-2008)
  • Verilog-1995 (IEEE Standard 1364-1995)
  • Verilog-2001 (IEEE Standard 1364-2001)
  • SystemVerilog-2005 (IEEE Standard 1800-2005)
  • SystemVerilog-2009 (IEEE Standard 1800-2009)