AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
日付 3/20/2018
Public
ドキュメント目次

3.3.12. Simulation

Both the Xilinx* Vivado* and the インテル® Quartus® Primeプロ・エディション software support integration with third-party EDA simulation tools, such as Mentor Graphics* ModelSim* , Cadence NC-Sim, and Synopsys* VCS. In addition, the インテル® Quartus® Primeプロ・エディション software supports the Aldec Active-HDL and Riviera-PRO simulation tools.
表 22.  Simulation Support Comparison
GUI Feature Xilinx* Vivado* Software インテル® Quartus® Primeプロ・エディション Software
Simulation Vivado* Simulator ModelSim* - インテル® FPGA スタンダード・エディション
Third-Party Simulation Tools Third-Party Simulation Tools

Access

To specify third-party simulation tools in the インテル® Quartus® Primeプロ・エディション software:
  1. Click Assignments > Settings.
  2. In Category, click EDA Tool Settings.
  3. Under Simulation select the simulation tool.

You can also specify third-party simulation tools in the New Project Wizard.